自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

TK - Kung

为了曾经那个轻易许下的梦

  • 博客(98)
  • 资源 (7)
  • 收藏
  • 关注

原创 Virtuoso: 工艺库、仿真模型

1、添加仿真模型时,仿真模型有.scs和.lib的格式,感谢这个大佬的解惑!附上他的文章链接:Virtuoso 工艺库安装方法(小白版) - 知乎添加.lib格式模型就如下:

2023-08-30 16:14:45 939

原创 安装 Proxy SwitchyOmega 扩展

打开选项页面后,选择导入/导出,在在线恢复选项中填入以下地址并点击恢复。

2023-07-02 20:53:37 991 2

原创 充电协议: 快充协议,如何选充电宝?

选择好自己的协议,才能配置好合适的充电宝协议

2023-03-05 20:39:44 6594 1

原创 EndnotesX9 : 怎么把文献插入文档中间位置? 多个文献但只显示一个引用序号?

EndnotesX9 : 怎么把文献插入文档中间位置? 多个文献但只显示一个引用序号?

2022-10-29 20:09:18 6707

原创 Matlab: 常用语句

Matlab: 常用语句读取excel文件: xlsread()矩阵的表达ismember做可以做查找

2022-10-12 21:11:43 1837

原创 Matlab: 修改编码方式, 如GBK->UTF-8

Matlab: 修改编码方式, 如GBK->UTF-8

2022-10-11 21:33:38 14309 3

原创 Matlab: 重命名手段

Matlab: 重命名须知matlab.graphics.internal.figfile.FigFile/read>@(hObject,eventdata)firstCheck('popFig2_CreateFcn',hObject,eventdata,guidata(hObject))

2022-10-11 12:04:57 7083 1

转载 电脑接口: VGA、DVI、HDMI、DP

电脑显示器常见的接口主要有HDMI、DP、DVI、VGA等4种接口

2022-09-28 13:16:53 7368

原创 L-Edit: 基本操作

Tanner L-Edit的基本操作,勿忘

2022-08-15 20:18:16 6133

原创 Virtuoso: 最全安装(IC618,IC617等), 问题解决

最全安装Virstuoso IC618

2022-08-02 21:25:19 9265

原创 Ubuntu: 系统使用, 系统源更新, Vi基本操作, 磁盘拓展

Ubuntu: 系统使用, 系统源更新, Vi基本操作

2022-07-30 12:26:08 347

原创 Hspice: 安装心得

Hspice 2016 2019 安装心得,最容易出现的几个问题,在这里记录

2022-07-26 14:24:10 2452 5

原创 Spice:基础概念

Hspice(Synopsys), Spectre(Cadence), Eldo(Mentor)

2022-07-20 16:40:32 3930

原创 Abaqus: 有限元模型基本操作

Abaqus: 有限元模型基本操作

2022-07-18 14:31:24 630

原创 Premiere: 基本操作

Premiere: 基本操作

2022-07-18 13:59:31 348

原创 NFC: 简单记录

NFC

2022-07-07 19:58:37 410

原创 Cadence: 各软件业务

Cadence: 各软件业务

2022-05-17 15:54:18 7020

原创 电磁波:频率、波长、反射波

电磁波:频率、波长、反射波

2022-04-26 21:12:10 895

原创 端口: USB的发展

USB接口

2022-04-12 15:41:58 366

原创 Abaqus: 云图设置

在ABAQUS中,一般是把X轴当做1轴,Y轴当做2轴,Z轴当做3轴;那么:S11就是X轴向的应力,正值为拉应力,负值为压应力;S22就是Y轴向的应力,正值为拉应力,负值为压应力;S33就是Z轴向的应力,正值为拉应力,负值为压应力;S12就是在YZ平面上,沿Y向的剪力;S13就是在YZ平面上,沿Z向的剪力;S23就是在XZ平面上,沿Z向的剪力;由于剪力的对称性:S12=S21, S13=S31, S23=S32UR是转角,单位应该是弧度U是位移单位要看你在计算时使用的单位系统,如果你..

2022-04-07 20:44:44 8614

原创 快速桌面: Evething和Listary,Utools和Quicker

Evething占用大,调用方法不合适个人资源中搜索。Quicker占用也大

2022-02-03 11:44:38 1828

原创 滤波器: Matlab数字滤波器设计

如何用Matlab设计滤波器

2021-12-06 15:05:06 2595

原创 Android:Gradle

Gradle是一个基于Apache Ant和Apache Maven概念的项目自动化构建开源工具。它使用一种基于Groovy的特定领域语言(DSL)来声明项目设置,目前也增加了基于Kotlin语言的kotlin-based DSL,抛弃了基于XML的各种繁琐配置。面向Java应用为主。当前其支持的语言限于Java、Groovy、Kotlin和Scala,计划未来将支持更多的语言...

2021-12-06 14:58:07 69

原创 Android Studio:JDK、SDK、AS安装

1、JDKJDK有版本区别2、SDK3、AS

2021-12-06 14:53:51 969

原创 Android: 数组、List(LinkedList、ArrayList、Vector/Stack)

LinkedList:1、添加元素:如果不指定索引的话,元素将被添加到链表的最后.public boolean add(Object element)public boolean add(int index, Object element)也可以把链表当初栈或者队列来处理:public boolean addFirst(Object element)public boolean addLast(Object element)addLast()方法和不带索引的add()方法实现的效果一样

2021-12-04 18:29:06 2575

原创 FFT:介绍奈奎斯特限制(2倍频)

500Hz直接丢失!所以fs>2f(目标频率)

2021-12-01 20:27:47 1081

原创 FFT:Java编程

JTransforms,FFTW(west)那是用C完成的在android中需要JNI调用对比以后JT耗时结果很理想.(其实,现在最快的是FFTS(south)也是需要调用JNI,

2021-11-29 20:57:43 1489

原创 Q值:各概念区分

电感Q值:也叫电感的品质因素,是衡量电感器件的主要参数。是指电感器在某一频率的交流电压下工作时,所呈现的感抗与其等效损耗电阻之比。电感器的Q值越高,其损耗越小,效率越高。Q值一般统称品质因数,它是衡量一个元件或谐振回路性能的一个无量纲单位。简单地说是理想元件与元件中存在的损耗的比值。这个元件可以是电感、电容、介质谐振器、声表面波谐振器、晶体谐振器或LC谐振器。...

2021-11-10 12:22:28 11960

原创 架构和指令集: CISC, RISC

CPU架构:指令集架构(上层逻辑设计),微架构(底层电路设计)微处理器的指令集架构-ISA(Instruction Set Architecture)常见种类如下:复杂指令集运算(Complex Instruction Set Computing,CISC),(X86-intelAMD)精简指令集运算(Reduced Instruction Set Computing,RISC)顺序执行的优点是控制简单,但计算机各部分的利用率不高,执行速度慢。(ARM-arm Qualcomm; MIPS...

2021-10-31 10:32:20 2319

转载 IC: S-Edit,T-Spice,W-Edit,L-Edit与LVS各自的关系

集成电路版图编辑器L-Edit(Layout-Editor)在国内已具有很高的知名度。 Tanner EDA Tools 也是在L-Edit的基础上建立起来的。整个设计工具总体上可以归纳为电路设计级和版图设计级两大部分。即以S-Edit为核心的集成电路设计、模拟、验证模块和以L-Edit为核心的集成电路版图编辑与自动布图布线模块。电路设计级包括电路图编辑器S-Edit、电路模拟器T-Spice和高级模型软件、波形编辑器W-Edit、NetTran网表转换器、门电路模拟器GateSim,以及工..

2021-10-26 09:34:00 3084

原创 单位: Baud,bits/s,波特率

波特率是每秒传送一bit的数量所以单位是bits/s;波特率(bits/s)每秒传输二进制代码的位数,1波特=1位/秒,即bps(bit per second)波特率是B,b/s是比特率。波特率与比特率的关系也可换算成:比特率=波特率*单个调制状态对应的二进制位数。波特率是指数据信号对载波的调制速率,它用单位时间内载波调制状态改变的次数来表示(也就是每秒调制的符号数),其单位是波特(Baud,symbol/s)。波特率是指数据信号对载波的调制速率,它用单位时间内载波调制状态改变的次数来表

2021-09-26 22:08:02 7475

原创 基础: 有效值、RMS、振幅、幅度概念

有效值:定义:1、对于电流(或电压)也可以按下述定义,让一个交流电流(电压)和一个直流电流(电压)分别加到阻值相同的电阻上,如果在相同周期内产生的热量相等,那么就把这一直流电流(电压)的数值叫做这一交流电流(电压)的有效值。2、有效值即瞬时值的平方的平均值的平方根,也简称为方均根值。以上两种定义是对任何信号有效的,一定意义上是等效的。应为热量相等(I平方*R)可以推导出均方根(RMS)的计算方式常用的概念:正弦电流(电压)的有效值等于其最大值(幅值)的1/√2,约0.707倍。..

2021-09-25 16:26:17 43294

原创 NI范例: 正确打开方式

Solution: The NI Service Locator is a service that runs in the backgroundof the operating system. This service enables the proper execution ofseveral features including the LabVIEW Example Finder and some helpoptions in LabVIEW. If this service is not r

2021-09-25 10:42:20 211

原创 安装: MinGW-w64编译器安装及dll,com编译

下载地址:tdm-gcc (jmeubank.github.io)

2021-09-10 16:34:22 291

原创 启动: Matlab启动慢

原因在于软件查找授权文件时间太长,所以解决自然是快速定位license文件下面是具体解决方法:1.找到你的License文件的绝对路径,一般在***\Polyspace\R2019a\licenses路径下,一个带有你电脑名的lic文件;2.找到matlab的桌面快捷方式-右键-属性-找到目标框;3.在目标栏中添加 -c "D:\Polyspace\R2019a\licenses\license_***_2019a.lic" 也就是多了’-c’,再加上license文件的绝对路径,确定添加.

2021-09-10 13:22:20 2916 1

原创 ocx插件: AniGIF.ocx安装

(ocx 是 ocx 控件的扩展名,与 .exe、.dll 同属于PE文件)①安装文件,其实也就是把他复制粘贴到我们的系统文件中。②对于是32bit的系统。请把 .ocx文件 移到 c:\Windows\system32 里面③对于是 64bit的Win7/win8 系统, 要把 .ocx文件移到 c:\Windows\SysWOW64④打开“运行”。输入注册命令。⑤对于32位的系统。在运行中直接输入“regsvr32 c:\Windows\system32\文件名.ocx⑥对于64

2021-09-08 14:26:33 8707

原创 滤波器: 隔直通交

fo=1/2πRC;;Xc=-j1/(ωC),ω=2*pi*f引:关于隔直电路中隔直电容的正确应用_易容网 (mlcc1.com)这世界上并不存在绝对的“隔直流通交流”的电路。试问直流和交流的界限何在?1Hz是交流,0.1Hz是交流……无穷小的频率仍然是交流!无穷小频率的交流跟直流怎么区分?所谓隔直电路的本质是截止频率比较低的高通滤波器,如此而已!那么高通滤波器的结构是什么样的?最简单的RC高通滤波器也是一个电容串联一个电阻,如图2。哪有一个电容就能工作的高通滤波器?也许某...

2021-09-01 21:52:34 1541

原创 电化学: 电解池,原电池

电解池,阳极和阴极分辨:阳极失电子,阴极得电子。阳极被氧化,阴极被还原,阳极被腐蚀,阴极被保护。原电池,正负极分辨:负极被氧化,正极被还原。负极被腐蚀,正极被保护。根据电子移动方向来判断。电子流出的为负极、电子流入的为正极或电流流出的正极、电流流入的负极;根据原电池里电解质溶液内离子的定向移动方向判断。阴离子流向的为负极、阳离子流向的为正极;根据原电池两极发生的变化来判断。失去电子发生氧化的是负极、得到电子发生还原反应是正极;...

2021-08-22 10:54:52 614

原创 Android: USB调试(Log打印)

开启Log打印(360手机)1.选择USB传输文件选项2.拨号*20121220#,选择日志输出等级- Log print enable选择Enable- Java log level选择LOGD(根据你自身的开发语言选择需要的模式)

2021-08-10 08:54:42 461

原创 化学共价键:π键和σ键

价键理论 (Valence Bond Theory,简称VB理论)基本要点:1、自旋相反的未成对电子相互靠近时可以形成稳定的共价键.一个电子含有几个未成对电子只能和几个自旋方向相反的未成对电子配对成键,共价键具有饱和性.2、成键电子的原子轨道重叠越多,所形成的共价键就越牢固.共价键尽可能沿着原子轨道最大重叠的方向形成(最大重叠原理),共价键具有方向性.共价键有两种不同的类型的键型:σ键:成键原子轨道沿键轴(两原子核间连线)方向“头碰头”的方式重叠,其重叠部分沿键轴具有圆柱型对称性.特点是重叠程度大

2021-06-24 21:36:22 11713

台积电Virtuoso工艺库

台积电的.18工艺,可以转OA

2023-09-12

Hspice 2016安装手册(最详细)

最近用HSpice,但是网上的安装和安装包都不全,有很多弯路,故此解决问题后,用安装手册记录下,在手册中还有我在网上找的安装包,Hspice 2016,这些安装包都是来源网上寻找,本人只是搜索到的,如果失效了,就只能靠兄弟门你们自己加油了。

2022-07-26

模拟工程师 电路设计指导手册:运算放大器

主讲运算放大器的电路

2022-03-05

UAF42.TSC仿真文件

这是基于UAF42的50Hz陷波器设计与仿真文件,由Wayne Xu编写的。

2021-03-13

Filter Solutions 2019.rar

由多个子模块组成,包括无源滤波器、分布式滤波器、有源滤波器、数字滤波器、开关电容器和 Zmatch(用于创建阻抗匹配电路)等等,其中有源滤波器支持多反馈双二阶,支持具有带通或高通/低通级的带通拓扑,支持综合三阶段和综合四阶段等等,而分布式滤波器支持具有电感器转换的分布式设计,支持双工器和多路复用器,支持多频段滤波器合成等等,可以广泛应用于通信系统,军事电子,医疗,仪器仪表,石油勘探和天线设计等行业。

2021-03-11

Filter Wiz PRO 5.8.3.exe

Filter Wiz PRO 5.8.3 设计滤波器,操作简单。

2021-03-11

CQP_SDK_V9_190510.zip

用于易语言开发酷Q插件的SDK文件,该文件内部包含json文件以及官方提供的一些模块文件。

2019-07-19

ConnectGenymotionSimulato.bat

AS关联Genymotion模拟器的adb代码命令,方便每次进入AS要重新输入adb命令行;

2019-07-19

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除