自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(8)
  • 收藏
  • 关注

转载 burst传输

嗨,屏幕前的你还好吗?这里是不二鱼技术分鱼,每周固定科普一些芯片当中的术语或者说专业名词,欢迎持续关注,如有错误,也欢迎批评指正。今天讲一个很重要的概念-Burst传输。 熟悉AXI协议的都知道,AXI总线是支持burst传输的。Burst传输,可以翻译为突发传输或者是连续传输。是指在同一行中相邻的存储单元连续进行数据传输的方式,只要指定起始地址和突发长度(Burst lengths,可以理解为跨度),控制器就会依次自动对后面相同数量的存储单元进行读/写操作,而不需要控制器连续提供列地址。 1 2 3 4

2022-08-15 11:45:57 1211

转载 异步复位同步释放

//Synchronized Asynchronous Reset //异步复位、同步释放:只适用于没有PLL的系统复位信号的设置 module sync_async_reset(clock,reset_n,rst_n); input clock, reset_n; output rst_n; reg rst_nr1, rst_nr2; always @(posedge clock or negedge reset_n) begin if(!reset_n) begin rst_nr1 &l

2022-07-11 17:47:56 95

原创 跨时钟域时钟约束

set_false_path -from [get_clocks CLKA] -to [get_clocks CLKB] set_false_path -from [get_clocks CLKB] -to [get_clocks CLKA] 等效于 set_clock_groups -group CLKA -group CLKB

2021-11-29 17:18:57 1501

原创 delay延时模块

module delay #( parameter RST_EN = 0, parameter DLY_CLK = 1, parameter DATA_WIDTH = 8 )( input sys_clk , input rst_n , input [DATA_WIDTH - 1 : 0] din , output [DATA_WIDTH - 1 : 0] dout ); reg [

2021-07-28 19:45:55 860

原创 有符号,任意大小实数(包括带小数),十进制转二进制(可设置二进制整数与小数位宽)

有符号,任意大小实数(包括带小数),十进制转二进制(可设置二进制整数与小数位宽) clear all; clc; width = 32; %二进制位宽 quotient = 13; %整数位宽 fraction = width - quotient - 1 ; %小数位宽 i=1; data=data_need_changed; fid = fopen('C:\Users\xjtu-33\Desktop\data.txt

2021-07-26 20:12:34 416

原创 占空比50%,任意奇数分频模块

module odd_divclk #( parameter DIV = 5 , parameter DIV_WIDTH = 3 )( input clk_in , input rst_n , output clk_out ); reg [DIV_WIDTH - 1:0] cnt_p = 0; reg [DIV_WIDTH - 1:0] cnt_n = 0; reg clk_p = 0; reg

2021-07-26 16:52:20 119

原创 单bit跨时钟域脉冲同步器,快时钟域到慢时钟域脉冲同步

单bit跨时钟域脉冲同步器,快时钟域到慢时钟域脉冲同步 模块 module mul_clk( input clk_a , input clk_b , input rst_n , input din , output reg dout ); reg din_dely = 0; reg feedback = 0; always@(posedge clk_a or negedge rst_n) begin if(!rst_n) din

2021-07-25 13:18:48 359

原创 基于hdl的asic设计流程

2021-04-20 16:11:55 102

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除