自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(44)
  • 资源 (255)
  • 收藏
  • 关注

原创 毕业论文-word中自动生成中英文双目录(TC域,支持更新不覆盖)

毕业论文-word中自动生成中英文双目录前言前言前言前言

2021-03-08 14:49:18 18907 2

原创 Windows10软件显示模糊解决方案

按Win+R打开运行,输入regedit回车打开注册表编辑器;展开HKEY_CURRENT_USER\Control Panel\Desktop;在右侧找到Win8DpiScaling,双击将其值修改为1;注销或重启电脑...

2020-04-28 19:42:52 1392

原创 OCR识别翻译

文章目录前言介绍介绍使用获取前言本文软件来源于网址链接介绍介绍一款非常好用的翻译神器支持百度识别接口支持识别打开的图片具体界面如下图使用方法一:双击右下角图标,即可识别的区域方法二:点击截图快捷键 F3,选择识别的区域使用小技巧:右键点击下图的图标,图标自动变红,此时按F3,选择要识别的预区域,即可自动进行翻译获取网址链接后台回复 OC...

2019-12-01 11:39:22 1305

原创 微信公众号下载神器—WeChatDownload

文章目录前言介绍使用获取前言本文软件来源于网址链接介绍WeChatDownload是一款非常好用的微信文章图片高清下载器主要用户离线保存微信文章的功能,下载效率极佳下载的html文件格式还能适配手机/平板等移动设备重点:免费!具体界面如下图使用在PC端无需登陆,即可下载任意的微信公众号文章点击微信公众号的任意一篇文章,软件后台即可自动进行下载下载完成后,...

2019-11-18 19:36:14 16535 2

原创 【Sql】matlab连接sqlite3

文章目录简介sqlite3连接sqlite3Matlab的sqlite3接口建立连接关闭连接创建表查询数据插入数据简介sqlite3SQLite 是一个软件库,实现了自给自足的、无服务器的、零配置的、事务性的 SQL 数据库引擎SQLite 是在世界上最广泛部署的 SQL 数据库引擎SQLite 源代码不受版权限制SQLite 不需要配置,这意味着不需要安装或管理SQLite 是非常...

2019-11-14 11:31:54 918

原创 代码管理中Trunk、Branches、Tags的区别和联系

我们可以将这三者想象成一棵树的组成部分trunk为树干branches为树枝tags为整棵树trunk用于主线开发branches用于定制版本、修复bugs、并行开发等使用tags用于存放release版本,阶段性代码,不用于修改和commit在branches开发时,要时常从trunk中更新到branches中,用于同步当branches开发完成时,就...

2019-11-04 10:07:46 6286

原创 关于SMTP邮件无法发送到 SMTP服务器,传输错误代码为 0x80040217

在使用代码进行发送邮件时,出现邮件无法发送到 SMTP 服务器。传输错误代码为 0x80040217。服务器响应为 not available的错误提示时在这里有2种解决办法:使用网易邮箱163进行发送邮件测试是通过的,不会出现错误使用腾讯邮箱时,要开启SMTP/POP3服务,将生成的授权码当作邮箱登陆密码来进行邮件发送...

2019-11-01 14:36:29 7682

原创 【Linux】基础入门-常用指令

目录操作使用 mkdir 命令创建目录:mkdir $HOME/testFolder使用 cd 命令切换目录:cd $HOME/testFolder使用 cd …/ 命令切换到上一级目录:cd …/使用 mv 命令移动目录:mv $HOME/testFolder /var/tmp使用 rm -rf 命令删除目录:rm -rf /var/tmp/testFolder使用 ls 命令查看...

2019-10-29 13:18:42 103

原创 【数据结构】字符串-模式匹配

文章目录Brute ForceKMPBrute Forceint StrBruteForce(LinkString* s, LinkString* t){ LinkString* p = s; LinkString* p1; LinkString* q ; while (p->next) { q = t->next; p = p->next; p1 ...

2019-10-23 13:20:20 193

原创 【数据结构】队列

文章目录操作应用操作LinkQueue* InitQueue(){ LinkQueue* s = (LinkQueue*)malloc(sizeof(LinkQueue)); s->front = s->rear = NULL; return s;}void DestroyLinkQueue(LinkQueue* s){ qQueue* pre = s->f...

2019-10-22 20:54:52 366

原创 【数据结构】栈的链式存储结构

文章目录定义基本操作应用定义//定义typedef struct LinkStack{ int data; struct LinkStack* next;}LinkStack;基本操作//初始化栈LinkStack* InitLinkStack(){ LinkStack* s = (LinkStack*)malloc(sizeof(LinkStack)); s-&gt...

2019-10-22 10:39:40 176

原创 【数据结构】栈的顺序存储结构

文章目录宏定义基本操作后进先出表栈顶是活动的当栈顶指针为-1时为空栈栈顶指针最大值为数组定义大小 MAX_SIZE-1宏定义//宏定义#define MAX_SIZE 50#define FAILED -1#define SUCCESS 0typedef struct SqStack{ int data[MAX_SIZE]; int top;}SqStack;...

2019-10-16 23:44:15 448

原创 【FPGA】 HLS教程之C/RTL Cosimulation

翻译自xilinx官方教程ug902,p181

2019-10-16 11:34:26 7923 2

原创 【FPGA】基于HLS的FFT核使用

文章目录基于HLS的FFT核使用基于HLS的FFT核使用

2019-10-14 17:14:39 2231 1

原创 【数据结构】线性表链式存储结构-有序链表

文章目录基本操作应用基本操作//宏定义#define FAILED 0#define SUCCESS 1typedef struct OLNode{ int data; struct OLNode* next;}OLinkNode;// 创建有序表OLinkNode* CreateOLinkNode(int a[], int n){ OLinkNode* L =...

2019-10-13 12:15:06 333

原创 【数据结构】线性表的应用

文章目录问题描述数据结构定义基本操作算法主要流程运行程序问题描述问题: 假设有两个表A和B,分别是m1行、n1列和m2行、n2列,它们简单自然连接结果C=AxB(i=j),其中i表示表A中列号,j表示表B中的列号,C为A和B的笛卡儿积中满足指定连接条件的所有记录组,该连接条件为表A的第i列与表B的第j列相等什么是笛卡尔积?设A,B为集合,用A中元素为第一元素,B中元素为第二元素构...

2019-10-12 21:05:36 1013

原创 【数据结构】线性表链式存储结构-循环链表

文章目录循环链表应用实例循环单链表将尾结点next指针域指向头结点循环双链表将尾结点next指针指向头结点,头结点的prior指针指向尾结点可通过p->next ==L来判断尾结点,双向链表可以通过L->prior来找到尾结点循环链表应用实例//宏定义#define FAILED 1#define SUCCESS 0typedef struct CirSLi...

2019-10-12 10:53:54 280

原创 【数据结构】线性表链式存储结构-双向链表

双链表基本操作//宏定义#define FAILED 0#define SUCCESS 1typedef struct DNode{ int data; struct DNode* prior; struct DNode* next;}DLinkNode;双链表应用实例

2019-10-11 21:11:33 147

原创 【数据结构】线性表顺序存储结构-数组

文章目录线性表的顺序存储结构基本操作应用实例线性表的顺序存储结构C/C++中借助数组来实现顺序表基本操作//线性表定义#define MAX_SIZE 50#define FAILED 0#define SUCCESS 1typedef int ElemTypetypedef struct SqList{ ElemType data[MAX_SIZE]; i...

2019-10-11 21:01:08 179

原创 【C/C++】Chapter 1

文章目录初识输入输出初识输入输出endl 是操作符,将与设备关联的缓冲区中的内容刷到设备中,可以保证所有产生的输出都真正写入到输出流,而不仅停留在内存中等待写入流调试时打印数据流,应该一直保证刷新流,否则程序崩溃时,输出可能还留着缓冲区中...

2019-10-10 20:13:14 120

原创 【数据结构】线性表链式存储结构-单向链表

文章目录线性表的顺序存储结构基本操作应用实例线性表的链式存储结构基本操作应用实例线性表的顺序存储结构C/C++中借助数组来实现顺序表基本操作//线性表定义#define MAX_SIZE 50#define FAILED 0#define SUCCESS 1typedef int ElemTypetypedef struct SqList{ ElemType ...

2019-10-10 13:39:14 159

原创 【FPGA】AXI总线协议

文章目录AXI总线协议AXI总线类型AXI总线协议AXI 全称 Advanced eXtensible Interface 主要描述了主设备和从设备之间的数据传输方式AXI 协议主要描述了主设备和从设备之间的数据传输方式,主设备和从设备之间通过握手信号建立连接当从设备准备好接收数据时,会发出 READY 信号。当主设备的数据准备好时,会发出和维持 VALID 信号,表示数据有效数据只有...

2019-07-10 10:23:47 1454

原创 【FPGA】Xilinx_ZYNQ7Z020——11.固化程序

文章目录11.固化程序工程建立生成FSBL创建BOOT文件SD卡启动测试QSPI启动测试Vivado下烧写QSPI使用批处理文件快速烧写QSPI11.固化程序本实验选择VDMA 测试工程来固化,在建立 VDMA 测试工程时,我们没有使能 QSPI 和 SD卡,要固化程序必须使能 QSPI 或 SD工程建立生成FSBLFSBL是一个二级引导程序,完成 MIO 的分配、 DDR 控...

2019-05-22 16:18:30 1793

原创 【C/C++】关于计算机内存地址的理解

经常可以看到某些书籍上写的内存地址0x0001,在另外一些书籍上写的内存地址又变成了0x00000001。都是表示的编号为1的内存地址,为什么一个是4位16进制表示,另外一个又是用8位16进制表示呢根据不同的硬件环境来的。个人理解:有些CPU只能寻址16位(16根地址线),所以用4位十六进制表示地址就够用了。有些CPU只能寻址32位(32根地址线),所以用8位十六进制计算机中存储器的容量...

2019-05-22 12:53:01 7075

原创 【FPGA】Xilinx_ZYNQ7Z020——9.自定义IP

文章目录9.自定义IP创建自定义IP添加自定义IP到工程SDK编写常见问题9.自定义IPXilinx官方为大家提供了很多 IP 核,在 Vivado 的 IP Catalog 中可以查看这些 IP 核用户在构建自己的系统中,不可能只使用 Xilinx 官方的免费 IP 核,很多时候需要创建属于自己的用户 IP 核创建自己的 IP 核有很多好处,例如系统设计定制化 设计复用,可以在在 IP...

2019-05-22 09:27:27 791

原创 【FPGA】Xilinx_ZYNQ7Z020——8. 以太网实验( LWIP)

文章目录8. 以太网实验( LWIP)工程建立SDK程序下载调试8. 以太网实验( LWIP)开发板有1 路千兆以太网, 通过 RGMII 接口连接,本实验演示如何使用 SDK 自带的 LWIP模板进行千兆以太网 TCP 通信LWIP虽然是轻量级协议栈,但如果从来没有使用过,使用起来会有一定的困难,建议先熟悉 LWIP 的相关知识工程建立新建一个“ net_test vivado...

2019-05-21 21:21:26 6850 3

原创 【FPGA】Xilinx_ZYNQ7Z020——7. PL 按键中断实验

文章目录7. PL 按键中断实验工程建立下载调试7. PL 按键中断实验前面的定时器中断实验的中断属于PS 内部的中断本实验中断来自 PL PS 最大可以 接收16 个来自 PL 的中断信号,都是上升沿或高电平触发本实验用按键中断来控制 LED工程建立本实验所用的 Vivado 工程只需要在“ ps_axi_led ”这个工程上添加用于按键输入的 AXI GPIO 就可以新...

2019-05-21 19:42:45 1201

原创 【FPGA】Xilinx_ZYNQ7Z020——6. PS定时器中断

文章目录6. PS定时器中断工程创建SDK下载调试6. PS定时器中断工程创建复制之前的ps_hello工程在弹出的对话框中填写新的工程名“ ps_timer ”,选择创建工程子目录 PS 里的定时器,因为不需要管脚输出,就不用配置管脚SDK运行 SDK ,可以看到,和前面的例程不同,这里又多出了一个硬件平台信息文件夹使用别人的 SDK 工程时也会有类似的现象出现,这里我...

2019-05-21 16:41:49 782

原创 【FPGA】Xilinx_ZYNQ7Z020——5. PS和PL简单结合

文章目录5. PS和PL简单结合5. PS和PL简单结合

2019-05-21 15:47:25 1770

原创 【FPGA】Xilinx_ZYNQ7Z020——4. PS初体验

文章目录4. PS初体验创建IP核PS部分编程问题汇总4. PS初体验创建IP核体验ARM工程PL对应逻辑电路部分,PS对应ARM核部分本节使用ARM传输字符串到计算机上创建工程Create ProjectProject NameRTL Project选择芯片之类的zynq7000、速度等级-2,封装clg400FinishPS部分要使用到IP INTEGRA...

2019-05-21 10:28:27 1654

原创 【FPGA】Xilinx_ZYNQ7Z020——1. 安装Vivado

文章目录1. 安装Vivado1. 安装Vivado

2019-05-17 18:21:45 794

原创 【FPGA】Xilinx_ZYNQ7Z020——3. HDMI输出测试

FPGA之Xlinx_ZYNQ7Z020

2019-05-16 17:26:02 1373

原创 【FPGA】Xilinx_ZYNQ7Z020——2. Led工程

3. LED工程Add Sources打开Vivado,点击QuickStart,创建新工程命名工程名字,以及文件路径等选择RTL Project(寄存器传输级工程)无需添加约束,点击下一步选择芯片信号等点击Finish创建完毕新建工程文件,右键Design SourceAdd design sourceCreate File,命名,点击OK,点击Finish完成即可...

2019-05-16 17:24:19 1125

翻译 【Radar】TI毫米波传感器基础知识

文章目录1.介绍2.距离测量原理3.距离分辨率4.速度测量两个线性调频脉冲进行速度测量同一个距离的多个物体的速度测量速度分辨率5.角度测量角度估算最大角视场1.介绍毫米波 (mmWave) 是一类使用短波长电磁波的特殊雷达技术雷达系统发射的电磁波信号被其发射路径上的物体阻挡继而会发生反射。通过捕捉反射的信号,雷达系统可以确定物体的距离、速度和角度工作频率为 76–81GHz(对应波长约为...

2019-05-15 21:04:48 6948 7

原创 MarkDown用法总结

文章目录1级标题2级标题3级标题4级标题1级标题2级标题1级标题2级标题3级标题4级标题1级标题2级标题标记标记标记123d前面总有5个空格组成加粗文本 或者 加粗文本斜体文本 或者 斜体文本斜粗体 或者 斜粗文本删除文本底纹高亮文字上标文字文字下标文字这是行内式链接:Mou。这是参考式链接:Mou,其中url为链接标记,...

2019-05-07 17:01:45 143

原创 魅族16th-指纹不灵敏

贴完膜后再录入指纹录指纹时,尽量保持手指正常状态,保证屏幕和手指的整洁干爽。调低屏幕亮度、开夜间模式、护眼模式,在光线暗的环境下录指纹一根手指可以同时录入5组指纹。先录入1个指纹,然后出现指纹不灵敏时,再录入一组指纹,重复上述操作。指纹解锁时,无须用力按压屏幕,稍微接触到绿光中心即可。上述之后指纹解锁效果会大大提升...

2019-05-07 09:26:42 2789

原创 【Electronics】数字图像处理实验

数字图像处理实验一 图象显示1. 目的2. 实验内容3. 实验效果实验二 图像的二维傅立叶变换1. 目的2. 实验内容3. 实验效果实验三 图像的增强1. 目的2. 实验内容3. 实验效果实验四 图像的增强1. 目的2. 实验内容3. 实验效果实验五 图像的二值化1. 目的2. 实验内容3. 实验效果实验一 图象显示1. 目的掌握在计算机上显示图象的方法2. 实验内容熟悉参考...

2019-04-24 15:42:22 574

原创 常用键盘快捷键用法

键盘上 HOME和END键的用法在文本编辑器中:Home键:光标定位到本行的左端;Ctrl+Home:光标定位到本文的开头位置;Ctrl+Shift+Home:定位到本文的开始;End键:光标定位到本行的右端;Ctrl+End:光标定位到本文的结尾位置;Ctrl+Shift+End:定位到本文的末尾;...

2019-04-19 16:54:39 685

原创 【Electronics】微机实验

微机实验2.8051单片机的数据传送实验2.1 实验内容2.2 实验目的2.3 实验说明2.4 实验框图3.P1口输入、输出实验3.1 实验内容3.2 实验目的3.3 实验说明3.4 实验框图4.单片机的I/O口与外部中断4.1 实验内容4.2 实验目的4.3 实验说明4.4 实验框图5.8051单片机的定时器/计数器5.1 实验内容5.2 实验目的5.3 实验说明5.4 实验框图6.串行数转换并...

2019-04-19 11:18:40 1677

原创 【C/C++】C++实验

C++实验1.简单C++程序开发1.1 使用Visual C+ +建立一个标准C+ +控制台程序,并编译、运行。1.2 分别编写函数swap( ) 对二个整数进行交换,其参数分别为指针和引用类型,并在主函数中进行测试1.3 设计一个C++控制台程序,从键盘输入一个圆的半径,输出其周长和面积。2.类与对象2.1 声明一个树Tree类,有数据成员ages(树龄),构造函数Tree(int n=0)进行...

2019-04-17 12:56:22 2846

51单片机驱动步进电机电路及程序.pdf

51单片机驱动步进电机电路及程序

2019-09-20

Altium Designer通用元件库_包含各厂家的_4.rar

Altium Designer通用元件库_包含各厂家的_4

2019-09-04

Altium Designer通用元件库_包含各厂家的_1.rar

Altium Designer通用元件库_包含各厂家的_1

2019-09-04

Altium Designer通用元件库_包含各厂家的_2.rar

Altium Designer通用元件库_包含各厂家的_2;

2019-09-04

Altium Designer通用元件库_包含各厂家的_3.rar

Altium Designer通用元件库_包含各厂家的_3

2019-09-04

一款小板的mp3PCB_604794.RAR

一款小板的mp3PCB_604794

2019-09-04

高速PCB设计指南之四_604796.pdf

高速PCB设计指南之四_604796

2019-09-04

高速PCB设计指南之二_258222.pdf

高速PCB设计指南之二_258222

2019-09-04

USB.TTL.STC单片机下载器PCB布局图分享_604793.rar

USB.TTL.STC单片机下载器PCB布局图分享_604793

2019-09-04

Protel DXP 教程_604790.pdf

Protel DXP 教程_604790

2019-09-04

PCB设计规范_604788.pdf

PCB设计规范_604788

2019-09-04

Altiumdesigner原厂中文培训资料_604786.zip

Altiumdesigner原厂中文培训资料_604786

2019-09-04

Altium_designer集成库详解_免费下载_20267.pdf

Altium_designer集成库详解_免费下载_20267

2019-09-04

Altium Designer 使用技巧之PCB走线的割线功能使用_604785.pdf

Altium Designer 使用技巧之PCB走线的割线功能使用_604785

2019-09-04

AD电路仿真的方式_604784.pdf

AD电路仿真的方式_604784

2019-09-04

Solidwork3DModle_封装库元件库等.rar

Solidwork3DModle_封装库元件库等 Solidwork3DModle_封装库元件库等 Solidwork3DModle_封装库元件库等

2019-09-04

AD9959相关资料

AD9959相关资料

2019-08-04

卡尔曼滤波代码.zip

陀螺仪的卡尔曼滤波算法C语言版

2019-07-31

西安电子科技大学电子类知识培训-PCB、电源等

西安电子科技大学电子类知识培训-PCB、电源等; PCB基础知识 电流路径分析 常见类型PCB设计 传输线和阻抗匹配 信号完整性 电源完整性 时序与信令完整性

2019-07-31

MPS DCDC 电源培训.pdf

MPS DCDC 电源培训.pdf

2019-07-31

大地坐标和雷达坐标的转化函数-CPP版

大地坐标和雷达坐标的转化函数-CPP版 大地坐标和雷达坐标的转化函数-CPP版

2019-11-01

voc数据集,不同车辆分类的数据集

voc数据集,不同车辆分类的数据集; voc数据集,不同车辆分类的数据集

2019-09-25

元胞自动机的Matlab代码.m

元胞自动机的Matlab代码.元胞自动机的Matlab代码.元胞自动机的Matlab代码.

2019-09-25

深度图像超分辨率重建MATLAB和C语言代码.rar

深度图像超分辨率重建MATLAB和C语言代码.深度图像超分辨率重建MATLAB和C语言代码.

2019-09-25

三菱PLC触摸屏与变频器应用技术.rar

三菱PLC触摸屏与变频器应用技术.三菱PLC触摸屏与变频器应用技术.

2019-09-25

俄罗斯方块,Tetris,C语言版

俄罗斯方块,Tetris,C语言版; 俄罗斯方块,Tetris,C语言版

2019-09-25

24V变双12V电路.

24V变双12V电路.24V变双12V电路.24V变双12V电路.24V变双12V电路.

2019-09-20

用ULN2003搭建最简单的三轴步进电机驱动线路.pdf

用ULN2003搭建最简单的三轴步进电机驱动线路

2019-09-20

音频信号检测模块.pdf

音频信号检测模块.

2019-09-20

音频信号频率和失真度分析仪.pdf

音频信号频率和失真度分析仪

2019-09-20

音频信号分析仪(A题)分析及电路和程序.pdf

音频信号分析仪(A题)分析及电路和程序

2019-09-20

计算机实现随机音频信号识别.pdf

计算机实现随机音频信号识别

2019-09-20

将单电源转换双电源.doc

将单电源转换双电源

2019-09-20

简易数控恒压恒流电源.doc

简易数控恒压恒流电源

2019-09-20

集成运算放大器.pdf

集成运算放大器

2019-09-20

基于单片机的倾角传感器设计.pdf

基于单片机的倾角传感器设计

2019-09-20

基于单片机的超声波测距仪的设计与实现.pdf

基于单片机的超声波测距仪的设计与实现

2019-09-20

基于STC89C51的CAN总线点对点通信模块设计.pdf

基于STC89C51的CAN总线点对点通信模块设计

2019-09-20

RS-485通信模块(芯片资料+应用设计).rar

RS-485通信模块(芯片资料+应用设计)

2019-09-20

ISL8282801210M电源模块实现卓越的负载瞬态相应.pdf

ISL8282801210M电源模块实现卓越的负载瞬态相应

2019-09-20

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除