自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(6)
  • 资源 (4)
  • 收藏
  • 关注

原创 将C基于控制台的可执行程序右上角的红叉禁掉

今天遇到一个问题,用vs2010编出来的基于控制台的可执行文件要求在运行期间不能被关闭,否则系统出现异常。因此需要对控制台右上角红叉叉的响应函数进行处理。将那几行代码放在这里简单说明一下。#include #include #include #include BOOL MyHandler( DWORD dwCtrlType ) //在回调中可处理各种消息响应

2016-01-04 21:26:39 1193

原创 OK6410用DNW下载led和ds18b20的裸机程序

本文用Uboot中提供的DNW命令工具下载可执行bin文件到SRAM中,没有写入flash所以掉电丢失,用于代码调试比较方便1、连接好串口线和USB数据线2、打开PC端 DNW 软件,设置好串口端口,波特率设置为:115200,设置USB Prot: Download Address:  0x57e00000 。3、 DNW 工具栏(Serial Port ->Connect)。 按住

2016-01-03 21:23:47 664

转载 C++之编译器与链接器工作原理

原文来自:http://blog.sina.com.cn/s/blog_5f8817250100i3oz.html 这里并没不是讨论大学课程中所学的《编译原理》,只是写一些我自己对C++编译器及链接器的工作原理的理解和看法吧,以我的水平,还达不到讲解编译原理(这个很复杂,大学时几乎没学明白)。要明白的几个概念:    1、编译:编译器对源文件进行编译,就是把源文件中

2015-06-08 23:16:41 273

转载 曾经在linux中用交叉编译工具编了一个可执行文件在android系统的板子上跑,无法执行

问题阐述:本人使用mini6410开发了一个sqlite数据库的程序,在mini6410的linux系统下已经能够成功运行了。因为Android使用的也是linux内核,所以我想当然的认为按照同样的方法将程序移植到mini6410的android系统中也可以成功运行,但是当我运行程序的时候却提示我不能找到可执行文件(xlisten-arm是交叉编译出来的可执行文件):/ # ./x

2015-06-08 20:36:41 989

转载 在ubuntu中如何安装sun-java6-jdk

在ubuntu10.04中安装JDK,可用指令 sudo apt-get install sun-java6-jdk,可一直报错:现在没有可用的软件包 sun-java6-jdk,但是它被其它的软件包引用了。这可能意味着这个缺失的软件包可能已被废弃,或者只能在其他发布源中找到E: 软件包 sun-java6-jdk 还没有可供安装的候选者————————————

2015-06-07 21:08:21 696

原创 OK6410开发板在make uboot时报错

在编译OK6410开发板时报下面错误。buntu:/xts/uboot1.1.6$ make forlinx_nand_ram128_config /usr/local/arm/4.3.2/bin/arm-linux-gcc: line 3: exec: arm-none-linux-gnueabi-gcc: not found修改方法如下:在uboot的makefile里的C

2015-06-06 23:53:09 436

OK6410a裸机流水灯与温度器

OK6410a裸机流水灯与温度器代码。两个功能在两个main源文件中,所以编译的时候要修改下makefile中编译的源文件名称。测试可用boot中的DNW命令下载到SRAM中用go命令执行。

2016-01-03

android中js对象C层扩展步骤说明及源码

android中一种在C层扩展js对象的步骤说明及源码

2015-10-20

matlab编写的OFDM系统代码

matlab编写的OFDM系统代码,从01数据流到纠改错编码、交织、FFT、瑞利信道、IFFT、解码的逆过程的系统代码

2013-01-04

VHDL语言写的电子钟程序

实验目的: 实现时钟显示,1——12——1——11——0——1循环,时钟调时,闹铃,闹铃定时等功能。 程序和注释: <code1.vhd> LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; entity code1 is port (clk : in std_logic; reset : in std_logic; shi_key : in std_logic; fen_key : in std_logic; set_mode_key:in std_logic; en:out std_logic_vector(7 downto 0); y :out std_logic_vector(7 downto 0); beep_s:out std_logic); end code1 ; ARCHITECTURE code2 of code1 is signal a:integer:=0; --ji shi signal d:integer:=0; --xian shi signal b,b0,b1,b3,b4,b5,b6:std_logic:='1'; signal e:std_logic:='0';--xian shi signal c:integer:=9; --miao gewei signal ch:integer:=5; --miao shiwei signal eight,eight1:integer:=0; --8 ge shu ma guan signal fg:integer:=9; --fen ge wei signal fs:integer:=5; --fen shi wei signal sg:integer:=1; --shi ge wei signal ss:integer:=1; --shi shi wei signal p:std_logic:='0';--zanting signal set_mode :std_logic_vector(1 downto 0); signal beep_m1,beep_m2,beep_h1,beep_h2:integer:=1; signal AM_PM:std_logic:='0';

2011-03-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除