自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(48)
  • 收藏
  • 关注

转载 育儿经

一、饮水机事件 女儿快一岁时,对什么都好奇,尤其对饮水机的开关好奇,她外婆带着她很担心,一直看着并不停阻止,要知道 这是很难的事,大人总有走开的时候,而女儿在学步车里是可以到处走动的。于是我决定不用看着了,饮水机的开关并不很危险,只要一放手水就会停,与其一直提心吊胆地看着不如让她烫到一次来得有用,很快她给烫到了,并记住了这是危险的,在很长一段时间内没有再去触摸。顺便我告诉她我们阻止她是因为这个

2013-07-25 15:28:31 691

转载 时间管理的十一条黄金定律

金律一:要和你的价值观相吻合   你一定要确立个人的价值观,假如价值观不明确,你就很难知道什么对你最重要,当你价值观不明确,时间分配一定不好。时间管理的重点不在于管理时间,而在于如何分配时间。你永远没有时间做每件事,但你永远有时间做对你来说最重要的事。  金律二:设立明确的目标   成功等于目标,时间管理的目的是让你在最短时间内实现更多你想要实现的目标;你必须把今年度4到10个目标

2013-05-02 17:20:44 762

转载 优秀程序员的45个习惯

态度篇1.做实事:不要抱怨,发牢骚,指责他人,找出问题所在,想办法解决。对问题和错误,要勇于承担。2.欲速则不达:用小聪明、权宜之计解决问题,求快而不顾代码质量,会给项目留下要命的死角。3.对事不对人:就事论事,明智、真诚、虚心地讨论问题,提出创新方案。4.排除万难,奋勇前进:勇气往往是克服困难的唯一方法。学习篇5.跟踪变化:新技术层出不穷并不可怕。坚持

2013-05-02 17:18:21 612

转载 程序员从初级到中级10个秘诀

Justin James曾发表过一篇博文《10 tips for advancing from a beginner to an intermediate developer》,为我们分享如何才能完成程序员从初级到中级的蜕变,现将中文译文转载于此,供大家借鉴。在一封与TechRepublic会员交流的邮件当中,他提到了面向程序员的博客、文章及杂志分成两类:面向初学者类(“hello w

2013-05-02 17:14:52 757

转载 Shell脚本写作:Awk学习笔记

1. awk简介awk是一种编程语言,用于在linux/unix下对文本和数据进行处理。数据可以来自标准输入、一个或多个文件,或其它命令的输出。它支持用户自定义函数和动态正则表达式等先进功能,是linux/unix下的一个强大编程工具。它在命令行中使用,但更多是作为脚本来使用。awk的处理文本和数据的方式是这样的,它逐行扫描文件,从第一行到最后一行,寻找匹配的特定模式的行,并在这些行上进行

2013-04-28 16:00:58 1133

转载 你可能不知道的Shell

你可能不知道的ShellShell也叫做命令行界面,它是*nix操作系统下用户和计算机的交互界面。Shell这个词是指操作系统中提供访问内核服务的程序。这篇文章向大家介绍Shell一些非广为人知、但却实用有趣的知识,权当品尝shell主食后的甜点吧。科普先科普几个你可能不知道的事实:Shell几乎是和Unix操作系统一起诞生,第一个Unix Shell是肯·汤普逊(Ke

2013-04-27 11:18:32 705

转载 对input_delay和output_delay的理解

对input_delay和output_delay的理解觉得对input我应该知道的是对端其间的tco,对于output我们应该知道对端器件的setup和hold,因为我以前就是拿来对端器件比如一个ADC的数据手册它上面就这么写的,然后我按照它写的参数来约束保证接口时序。     想了一会发现,其实对这个问题是应该这样理解的,我们现在是做芯片的了,是我们自己要写芯片手册了,我们该写到手册里

2013-04-24 09:28:37 7600 1

转载 Steps to Happiness 通往幸福的阶梯

Steps to Happiness通往幸福的阶梯Everybody should know:每个人都必须明白:You can't be all things to all people你不可能被所有人喜爱,You can't do all everything at once不可能一次做完所有的事情, You can't do all things equ

2013-04-23 17:21:25 1681

转载 ASIC 前端功能验证等级与对应年薪划分(IC验证)

对于ASIC的前端功能验证(不含SOC的IP集成验证): 1. 只会在别人搭建的环境上跑跑用例。 ------------------------------------------------年薪2. 若还会在别人搭建的环境上构造用例。------------------------------------------------年薪3. 若还会对测试点进行简单的分解,并能利

2013-04-15 10:56:35 2461

转载 【辅助工具】20款优秀的移动产品原型和线框图设计工具

线框图(Wireframe)是app、软件或者网站设计过程 中非常重要的一个环节,它可以合理地组织并简化内容和元素。线框图除了可以帮助开发者节省时间外,更可以加深开发者对产品的认知,给开发者一个清晰的产品构架,避免了开发者陷入层次不清、功能不明的产品设计和开发混乱状态。1、Proto.ioProto.io是一个专用的手机原型开发平台——可以构建和部署全交互式的移动程序的原型,并且可以模

2013-04-15 09:51:53 1087

原创 思考

考虑问题角度(多切换),思考问题思路,四象限时间安排 管理角度:队伍搭建 蓝海战略: 健康评估: 市场、财务、管理 处理复杂问题的方法:时间:分步骤细节:分层本质:正交 交付标准:分特性(确定特性点)

2013-04-11 09:25:33 581

原创 thought about verification

抽象通常是提高和简化的常用方法。常用的低级做法是将通用的东西整合,归一化。而对于EDA验证来讲,由于仿真和结果收集的问题都较好的交给了EDA工具。而留下来的剩下方案规划,测试点,环境搭建以及问题定位。对于方案、测试点来讲考虑更多的是方法,流程。这里可以对于输出结果例如模板之类有个标准规定。而方法可以各花入各眼,各自考虑。而对于环境搭建来讲,能否提取出一个通用的环境模板出来?首先,大家的整体架构都是

2013-04-11 09:24:59 556

原创 典型电路------APB 3.0

APB 3.0with no wait state:时序图:             with wait state:ready信号进行握手,在需要slave响应时,将Pready信号拉低从而将整个transfer拉长。PREADY can take any value when PENABLE is LOW. This ensures that periphe

2013-04-11 09:24:20 1252

原创 典型电路------低功耗相关

1.       系统级降低功耗1.       门控时钟(Clock Gating)技术1.       多电压(Multi-Vdd)技术(multi PD)1.       Power Gating技术用guarding ring 划分PD1.       Multi-Vt技术1.       Memory的低功耗技术RAM有三种低功耗控制,light

2013-04-11 09:23:31 1501

原创 验证随想

关于验证的scoreboard从哪里取数据:       一个从transfer,另一个处DUT处采集。       在需要被集成时,可以考虑第二种,否则用第一种方式。 环境的约束需要与设计的spec一一对应 时序描述和静态描述的区别:        在时序描述中,定位问题比较简单,但是在价格和扩展性上比较差        在非时序描述中,定位问题比较复杂,但是

2013-04-11 09:21:47 571

原创 我也谈项目管理

1、立项评审(与整体路线和战略的一致性,可行性)组建团队人员,相关人员到位后根据项目计划,进行评估提出方案,审计方案2、具体化计划,收集需求,提出项目策略并给出项目预算,后给出预计产出以及各个控制点。3、审批计划,跟踪人员到位,否则给出经验总结,回归知识库。4、具体执行,提供相应资源,中途评估绩效。5、输出结果,测试并给出用户体验,根据体验反馈,审批量产。

2013-04-11 09:21:11 562

原创 验证笔记

在Makefile里加入define需在一行完成。 在静态方法里不能使用this的变量。 monitor里只允许有一个callback,原因是由于appened时的检查,只允许一次callbck(当前的基类或者扩展类)。并且不会报错。 如果需要在一个monitor里callback两个地方,需要重载append函数。在monitor里只能有一个callback,但是在例化出

2013-04-11 09:20:14 1131

转载 典型电路-----RAM选型

在RAM的使用上面,我的感觉是只有大概的方向,比如:尽量少用双口RAM,小RAM尽量少用,RAM形状尽量合理但这些仅仅是停留在大概的印象中,没有一个非常明确的数据支撑,心里还是不够有底。我感觉RAM的选型结合实际的设计如果做得够好,应该可以让面积时序有比较大的提升。Q:RAM拼接是在RAM wrapper中做的吧,我们目前的wrapper脚本支持所有类型RAM形状的需求?A:有

2013-04-11 09:19:14 2700

转载 验证的目的

Q:验证的目的? A:发现Bug,发现所有的Bug,或者证明没有Bug(转自夏晶的帖子)   Q:对验证工程师的要求? A:Hacker mentality ,Organized testing ,Tool automation。   也就是,如何做更多的testcase、如何覆盖更多的测试点、如何充分的利用服务器、如何尽可能最大化的自动比对。

2013-04-11 09:17:24 3659

转载 sdf- standrad dealy format

sdf- standrad dealy format,标准延时格式文件。 作为一个ASIC工程师,需要时常和这个东西打交道,比如synthesis,STA,post-simulation,eco。也算是一个非常基本的概念,但也时常发现很多老工程师都对此认识模糊不清,所以写写。 First, delay分为cell delay和wire delay.顾名思义,cell d

2013-04-11 09:16:29 1759 1

转载 温度和芯片供电电压影响芯片的速度和性能

除了工艺对芯片性能有影响外,外界环境条件如温度和芯片供电电压也会影响芯片的速度和性能。1)、温度对数字IC芯片阈值电压Vt是有影响的,温度升高引起Vt下降。阈值电压Vt下降使芯片速度加快,但通常温升导致迁移率下降更快,总的影响是温度升高速度变慢  (a)PMOSFET(b)NMOSFET的VT随温度的变化曲线1)、无论是NMOS还是PMOS,它们VT的绝

2013-04-11 09:15:21 19071

原创 SOC学习笔记-----软件异常保护

1、    软硬件配合:A、    逻辑支持对中断响应做检测,当出现10ms周期(时间可在逻辑可配置),CPU未对逻辑做任何的读、写操作,则认为CPU出现挂死,逻辑可以复位CPU。B、    逻辑对送给软核的中断进行滤波保护,当小于超帧周期的中断出现时,逻辑能够屏蔽,并且逻辑对中断异常进行统计;2、    软件可测试点:A、    组网模式每1S钟统计一次,将组网信息存储在L1me

2013-04-11 09:08:43 877

原创 SOC学习笔记-------Cache access model

key words: critical path , cycles to access cache In the pipeline shown, the address decoders of the arrays and the data aligner have been removed from the critical path by placing them in diffe

2013-04-11 09:08:00 779

原创 典型电路--------SRAM相关

两个概念禁布区:由于power ring导致的禁布区,在RAM布置成方形时,所消耗的面积最小。 Mask写:由于所需RAM的读写地址长度可能与工艺库提供的有所不同,因此需要增加少许地址译码处理。但是不一定需要增加面积 关于RF

2013-04-11 09:06:56 1236

原创 典型电路-------状态机相关

1、无效状态2、无效跳转3、关联死锁 check_list:

2013-04-11 09:06:02 591

原创 典型电路------serdes

·串行器:将速率为y的n位宽并行数据转变成速率为n*y的串行数据。·解串器:将速率为n*y的串行数据转变成速率为y的n位宽并行数据。·Rx(接收)对齐:将接收的数据对齐到合适的字边界。可以使用不同的方法,从自动检测和对齐特殊的预留比特序列(通常也称作comma字符),到用户控制的比特调整。·时钟管理器:管理各种时钟操作,包括时钟倍频,时钟分频,时钟恢复。·发送FIFO(先进先出

2013-04-11 09:05:11 1794

原创 典型电路-----DRAM

DRAM;速度慢,功耗小,容量大SRAM:速度快,功耗大,容量小 (1) DRAM 基本单元由1个MOS管和1个电容构成(2) SRAM  基本单元由6个MOS管构成,利用双稳态触发器的两个状态表示1和0,达到存储数据的功能。   SRAM  基本单元由6个MOS管构成,利用双稳态触发器的两个状态表示1和0,达到存储数据的功能。      当写入1时,列地址

2013-04-11 09:04:04 1090

转载 perl笔记-----switch

还在perl中拼命的写if...elsif...elsif..else...么,其实perl有switch,而且我感觉perl的switch比神马高级语言的switch都强大。look:测试结果:如果在一个block中有一个my修饰的变量和外界的一个变量同名,而且又需要在这个block中使用外界变量时,两个办法:     第一个办法,用main的package修饰这

2013-04-11 09:01:15 1276

原创 Perl学习笔记----文件头

#!/usr/bin/perl -weval 'exec /usr/bin/perl -w -S $0 ${1+"$@"}'    if 0; # not running under some shell  当我们不确定perl安装目录的时候可以这么写#!/usr/bin/perl -weval “exec perl -w -S $0 $@”    if 0; #

2013-04-10 14:59:10 1523

原创 CPRI之TDD开关控制字

TDD开关控制字是用于控制字发送接收比的信息,譬如对于下载流量大而上传业务少的场景可以配置Rx:Tx比较大的开关控制字。 而TDD开关控制字常用的控制方式是通过主控CPU直接配置,但是不支持动态配置。 由于TDD下开关控制字直接连接功放,而在开关控制字切换时会产生slew_rate较高的毛刺,从而导致功放烧毁的危险。 因此在需要重新配置开关控制字时,通常是通过软件复位单板的

2013-04-10 14:57:59 1363

原创 CPRI协议

目的和适用范围:RE与REC之间的通讯,保证接口演进代价最低。结构:分三层进行描述。数据、CM、同步连接类型:电气接口,光接口(流明,坎德拉,勒克斯,勒克斯.秒

2013-04-10 14:57:13 2534

转载 SystemVerilog------2011新解

In 2005 there were separate standards for Verilog and SystemVerilog which are merged here with SystemVerilog 2009. There are 30+ noticeable new constructs and 25+ system task are introduced in SystemV

2013-04-10 14:55:57 3229 1

原创 SystemVerilog----关于foreach

foreach结构指定在数组元素上的迭代。它的自变量是一个指明任意类型数组(固定尺寸的、动态的、及联合数组)的标识符,然后紧跟着一个包围在方括号内的循环变量的列表。每一个循环变量对应于数组的某一维。foreach结构类似于一个使用数组范围替代一个表达式来指定重复次数的repeat循环。  例子: Copy Codestring words[2] = {"hello", "w

2013-04-10 14:54:41 19158 1

原创 SystemVerilog 学习笔记-----环境check

1、post_run        check para.check_ind(各个模块)根据模式整理需要check的ENV模块 正确比对包的个数是否等于配置的包个数(组解帧) 各个模式下需要比对的包数量(CPRI_CORE)  保证正确比对的包数量不为零 2、RM队列          分模式check RM队列(在post_run里处理) 3、收集非SB处理的比对

2013-04-10 14:52:31 965

原创 SystemVerilog笔记------散记

枚举类型打印,使用%s   ---- a.name() task mytask1 (output int x, input logic y); ...endtasktask mytask2; output x; input y; int x; logic y; ...endtask每一个形式参数可以具有下列之一的方向:

2013-04-10 14:50:49 3075

原创 ESL学习笔记----软硬件协同调试

1         关于核的部分说明这里分配的有dram限制,具体大小如下所示。        具体需要的空间大小通过查看编译后的map文件可知,而核的中断有很多种,并不一定都开放给外设。还需要一些内部使用,例如timer或者software之类、NMI等。因此在中断对应上外部使用不能全部对应内部的32bit中断,而且中断的优先级不尽相同。具体对应关系和开放设置如下

2013-04-10 14:47:51 1521

原创 ESL学习笔记

ESL,全称为electric system level,其主要通途是用于系统建模,和MATLAB相比,它的优势在于软硬件协调和较好的细节关注,调试机制比较全面。 而对于海思目前用的ESL平台,包括两个coware和ESL builder。两者的区别不大,但是支持的语法细节有所不同。 COWARE平台,可以分成两大部分。 一个为ESL模型部分,主要包括抽象化的各个部件模型。

2013-04-10 14:46:30 7060

转载 影响仿真效率的随机约束写法(多层foreach)和随机数据bit位宽表示

通过分析,对IC环境的随机效率影响较大的主要是随机constraint中有较多的多层(2层)foreach,和一些数据位宽选择较大。下面通过比对修改前后的仿真结果,探讨一下随机约束中多层foreach和bit位宽对随机效率和机器资源的影响。随机约束写法一表示修改之前的写法,随机约束写法二代表修改后的写法。随机约束写法一:1. 多层foreach约束动态数组一维动态数

2013-04-10 14:45:17 1335

转载 SVA在VCS和NC下仿真差异总结

1      前言      用VCS仿真SVA的资料已经很多,所以本文重点阐述了NC下使用SVA的脚本,以及SVA在VCS和NC下仿真的差异。2      脚本2.1      NC仿真脚本-access +r  读存储这个方式必须有,为了断言检测用的。-sv   大家都懂的-assert 断言使能-gui  启动图形化界面-input  从文件里面读tcl命令

2013-04-10 14:44:16 5245

原创 system verilog学习笔记---intersting Q&A

1、关于RM的数据来源,一则可以从Transfer中取包,另外可以从逻辑接口处采集而来。2、关于激励的来源,一则可以从激励源数据文件得之,另外可以通过环境的约束自己产生。3、关于激励的描述,一则可以直接用时序语言描述,另外可以用定义式的语言进行描述。

2013-04-10 14:43:01 824

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除