自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(82)
  • 资源 (23)
  • 收藏
  • 关注

原创 VHDL刷题

本人没有找到专门刷VHDL的网站,故利用X-HDL来刷VHDl

2024-03-05 21:49:16 388 2

原创 真值表 && 逻辑表达式(二)

之前写的”真值表 && 逻辑表达式“表达有误,代码没有问题,但是化简没有达到最简,另外,代码的输入有点反人类,这里也更新一下。

2023-07-17 23:08:08 986

原创 DC 使用记录

不使用change_name时,DC自动生成的.v网表文件会有许多反斜杠之类的命名,使用change_name,可以改变这种情况。

2023-04-14 11:21:43 843 1

原创 Shell在指定目录下查找文件中的字符串并打印

Centos 7.9测试尚未发现bug。

2023-04-04 19:47:09 879

原创 SV fork...join/join_none/join_any

摘自绿皮书。

2023-03-26 10:19:47 481 2

原创 真值表 && 逻辑表达式

真值表与逻辑表达式互转

2023-03-14 17:54:49 1646

原创 win11断网自动重启

电脑断网自动重启电脑,远程办公用的

2023-01-08 20:12:39 937

原创 NTT负包裹卷积

负包裹卷积运算。伽罗瓦域下数论变换之负包裹卷积

2022-11-23 20:46:15 1168 4

原创 vivado跑完发邮件

vivado跑完Synthesis、Implementation后发送邮件提醒,避免一直盯着电脑看

2022-11-17 20:11:31 577 2

转载 谢里夫理工大学——IC教程(一)

谢里夫理工大学的FGPA& ASIC教程

2022-08-29 21:50:09 322

转载 Candence 数字流程

Introduction to the Cadence Tutorial for Digital IC Design

2022-08-22 10:55:31 478

原创 manjaro系统安装记录

manjaro折腾记录

2022-06-03 23:10:59 1182 5

原创 三对角、五对角追赶法求解线性方程组

前言谨以此文纪念2022-5-27 日19:00-21:30学业生涯最后一次考试《数值分析》参考《五对角线性方程组追赶法》——王礼广等;以及《求解五对角方程组追赶法》——夏爱生等;以及《数值分析》课本第二版一.三对角1.1问题引入问题引入:A={613861...........................86186},A= \begin{Bmatrix}6& 1 & 3 &\\ 8 & 6 & 1& \\ & ... &

2022-05-28 22:31:20 4931 11

原创 Doxygen快速入门

前言转载:https://zhuanlan.zhihu.com/p/1002231131.1 安装包不知为何MicroSoft官网下载不了htmlhelp.exe,于是这去这里下载了:http://www.helpgenerator.com/html_help_workshop.htm最终三个软件都已上传:https://download.csdn.net/download/wxkhturfun/800111201.2说明原文中的几个路径说明一下:Export=>Dot=>DOT

2022-02-10 16:49:44 245 1

原创 Typora主题修改、VScode主题

前言https://theme.typora.io/https://github.com/topics/typora-theme在官网和github上均可找到相关的主题,自己下载就行了。比较优美的主题有:Dracula、Mlike、Mo Dark、Mint Dark截止2022-2-7,本人查阅了Dark主题.css,将其下载打包,并进行了少许修改,合集:https://download.csdn.net/download/wxkhturfun/79602533修改:基于Mo Dark和Dracu

2022-02-07 22:54:53 1223

原创 鼠标右击选择sublime打开文件(夹)

前言由于vscode内存占用太高,而我只需要查看文件,更轻量级的编辑器就行,所以使用了sublime,但是vscode可以直接打开文件夹,sublime右击鼠标不会打开文件夹,所以决定修改注册表,模仿vscode打开文件夹1.1 观察vscodewin + R : 输入:regedit找到如下路径:计算机\HKEY_CLASSES_ROOT\Directory\shell\在vscode下发现如下内容:1.2 模仿...............

2022-02-04 15:55:36 2159 4

转载 Vivado Visti HLS(高亚军)

前言今天翻了翻高亚军的《vivado从此开始》这本书,看着还可以,然后关注了他的公众号,里面有些总结写得不错,收藏一下1.Vivado合集来了(2018-2019)https://mp.weixin.qq.com/s/UjKSC95wvkHzS_rrxAcMXA2. Vivado合集来了(2020-2021)https://mp.weixin.qq.com/s?__biz=MzI5NTQwODcyMQ==&mid=2247487726&idx=1&sn=c72f7f78e4

2022-01-27 19:15:00 4839

转载 波形图绘制(可导出至visio)

1. TimeGenp安装链接:https://pan.baidu.com/s/1jOpp1uK-cioe47idyadjEQ提取码:excw2. 绘制完成后导出至visio以下内容摘自:https://blog.csdn.net/gsjthxy/article/details/110825795画完之后去掉背景logo:(1)View-TimeGen Logo去掉勾(即去掉右下角的字符);View-Bounding Rectangle去掉勾(去掉四周黑色边框);(2)File-Exp

2022-01-26 16:25:21 2076

原创 Zynq——PL_BRAM_PS数据传输

前言开发环境:vivado 2020.2 vitis windows10 黑金开发板基于板厂给的模板,修改文件,实现以下功能:PS端向PL端发送数据(写至BRAM),PL端对数据进行处理,处理后将数据写回BRAM,接着PS端读取BRAM并通过串口发送至win10,win10下使用串口接收数据并利用python导出数据保存。1.原板厂例程https://download.csdn.net/download/wxkhturfun/77297149内容包含vivado工程、vitis工程、说明

2022-01-22 11:54:54 4488 2

转载 使用VScode搭建轻量级Verilog开发平台

请看原文链接:https://zhuanlan.zhihu.com/p/393293612附上软件:https://download.csdn.net/download/wxkhturfun/75542411

2022-01-12 17:47:45 227

原创 Surface pro系统重装

前言本人入手了一款二手surface pro4,想重装系统,以为与之前一样,奈何并非如此。直接BitLocker,解锁BitLocker需要登录微软账户查看,或者之前有过备份,显然两者都与我无关1.准备由于需要触摸屏,所以需要专门的U盘PE:Win10PE(SurfacePro4专用)链接:https://pan.baidu.com/s/1GaQO7v5hqq9CYf_NU-4MZg提取码:nkjf双击,选择U盘,其他的均选择默认。接着将win10的.iso镜像拷贝到U盘上2.重装按

2021-11-25 17:26:08 8363

原创 一生一芯记录(一)

1.工程shell脚本tree:.├── build.sh└── projects└── counter├── csrc│   └── main.cpp└── vsrc└── top.v有改动#!/bin/bash#./build.sh -e counter -b -s#./build.sh -e counter -w vlt_dump.vcd#./build.sh -e counter -c build_testVERSION="1.19"help(

2021-09-17 17:15:13 1589

原创 《30天自制操作系统》从入门到放弃

30天自制操作系统01day1.0写在前面的话关于01day~03day,可以完全参考下述链接(下述内容部分也是来源此链接):https://www.cnblogs.com/yucloud/category/1472969.html1.1手打img在下面这条链接中下载填制编辑软件https://www.jb51.net/softs/601421.html#downintro2(其实我不并推荐这种编辑器,使用vscode即可:https://www.cnblogs.com/baby123/p/

2021-09-12 21:05:44 11679 13

原创 rocket-chip——vcs安装

1.前言按此链接https://github.com/chipsalliance/rocket-chip进行rocket-chip的下载与编译,但是到:cd vsimmake编译出错,需要vcs故出此文,前述下载与编译仍严格按照rocket-chip的github链接2.查看系统几核echo $(nproc) 3.我的/etc/profile这是其中的最后三行export PATH=/usr/software/sbt/:$PATHexport RISCV=/home/jack/roc

2021-09-08 15:26:05 766

原创 Ubuntu单系统&重装windows10系统

0.单系统如果想把windows系统给彻底删除,(参考:https://blog.csdn.net/wxkhturfun/article/details/115184988)。仅安装Ubuntu系统则跳过步骤一,因为该步骤对单系统毫无意义安装单系统请参考:https://www.cnblogs.com/niko-s/articles/13663334.html但是需要注意的是,由于单系统删除了windows系统中的boot等相关引导加载程序,所以需要在安装Ubuntu时添加UEFI,若要使用U盘安装

2021-07-30 09:09:55 2052

原创 modelsim仿真脚本

windows10环境1.run.do老版Modelsimvlib workvmap work workvlog *.vvsim -t ns -novopt -voptargs=+acc work.tbview signals wave 新版Modelsimrun.do文件:vlib workvmap work workvlog *.vvsim -t ns -voptargs=+acc work.tbview signals wave 2.sim.batecho

2021-07-27 10:57:53 780

原创 GHDL+gtkwave

前言之前https://blog.csdn.net/wxkhturfun/article/details/110822618,链接中提到如何使用iverilog+gtkwave开源仿真软件来进行verilog代码的仿真,使用iverilog还可以将verilog转换为VHDL。但是遗憾是iverilog对VHDL并不怎么支持,所以本章介绍如何使用GVHD+gtkwave来进行仿真,GVHD可在windows、Linux、Mac下运行,本文依就是Linux1.GVHD安装sudo apt-get ins

2021-07-01 14:51:22 1179 4

原创 批处理——for

摘自:http://bbs.bathome.net/thread-2189-1-1.html批处理1 基础1.1 切换工作路径将工作路径切换为.bat文件所在的位置cd /d %~dp01.2 显示工作路径下的所有文件/b 显示文件夹或文件的名字/s 显示指定目录和所有子目录中的文件。@echo offdir /s /b *.* > C:\Users\DELL\Desktop\test.txt当然也可以使用for循环:@echo offfor %%i in (*.*)

2021-06-29 16:56:38 633

转载 批量抓取QQ群成员的QQ号、昵称、群名片

批量抓取QQ群成员的QQ号、昵称、群名片1.信息来源https://qun.qq.com/manage.html#打开该网站的下的“成员管理”,并选择对应的群,即使不是群主、管理员亦可。选择要抓取的群。在上述界面下,滑动鼠标滚轮到最底,确保所有的成员都出现在页面2.抓取按F12点击console,输入下:var n=$('#groupMember .list tr.mb');var t='QQ\t\t\t昵称\t\t群名片\n';for(var i=0;i<n.length;i

2021-06-18 22:22:58 7261 6

原创 python修改图像颜色

环境:opencv-python 4.5.2.52numpy 1.20.2Pillow 8.2.0python 3.9.21.获取图像像素值摘自:https://blog.csdn.net/m0_46413170/article/details/117001451import cv2def get_point(event, x, y, flags, param): # 鼠标单击事件

2021-06-07 20:10:25 2777 1

原创 建立时间与保持时间

建立时间与保持时间CMOS 工艺下的 D-FF 结构如图 1-4 所示,先由传输门和两个反相器组成一个循环电路(锁存器),再由前后两级锁存器按主从结构连接而成。这里的传输门起开关的作用,随着 CLK 的状态变化切换开关。只看输出的话,前级锁存器的值会将时钟输入的变化井然有序地传入后级锁存器。为了防止时钟信号变化时输入信号发生冒险,从而使稳定的输入信号进入前级锁存器,前级锁存器的时钟相位应该与产生输入信号的电路时钟反向。图 1-5 为 D-FF 的原理图。当 CLK=0(主锁存器工作)时,位于前级的主锁

2021-05-23 21:30:02 711

原创 删除boot

1.删除过程制作双系统,已经安装了windows。首先制作一个ubuntu的U盘,之后插上U盘重启,先择install Ubuntu。…此处略去若干步骤…到了分区的时候了,咦,看头windows那边好像有点东西,删了会怎么样?试试吧,大不了再重新装回来。确实,我全都删除了,为啥我会有上述图片,毕竟想留个纪念,记录下过程,以便复现,咳咳。…此处略去若干步骤…然后重新启动...

2021-03-24 19:19:27 1586 2

原创 NTT数论变换(四)

上一篇:https://blog.csdn.net/wxkhturfun/article/details/111937428Stockham算法关于该算法,可以详细见链接:http://wwwa.pikara.ne.jp/okojisan/otfft-en/stockham1.htmlStockham和FFT的区别在于是否需要额外进行码位变换,在基于库里-图基的FFT(或NTT)中,需要首先进行Rader变换,而基于桑德-图基的FFT(或NTT)中,最后需要进行Rader变换,而存储数组的下标是始终在

2021-03-09 19:40:24 998

原创 Aurora插入公式到Word

1.安装要素texlive.iso(3.7GiB ,使用清华大学镜像):https://mirrors.tuna.tsinghua.edu.cn/CTAN/systems/texlive/Images/注:原始官网为:http://tug.org/texlive/编辑器(可选):http://texstudio.sourceforge.net/aurora破解:https://cloud.189.cn/t/RF3QZbuYVzay(访问码:gu8q)2.安装解压texlive.iso,然后执

2021-02-27 14:37:19 1375 1

转载 python GUI文档提取

import PySimpleGUI as sgsg.ChangeLookAndFeel('GreenTan') #更换主题menu_def = [['&使用说明', ['&注意']]]layout = [ [sg.Menu(menu_def, tearoff=True)], [sg.Frame(layout=[ [sg.Radio('Excel1', "RADIO1",size=(10,1),key="Excel1"), sg.Radio('Word',

2021-02-15 19:47:53 227

转载 从pdf中提取图片、表格、公式

安装环境:python3.7pip3 install pymupdf==1.16.8(最新版本会报错)#!/usr/bin/python3# -*- coding: utf-8 -*-# @Time : 2019/3/19 08:51# @Author : qizai# @File : fetch_pdf_v1.py# @Software: PyCharmimport fitz #pip3 install pymupdf==1.16.8import timeimpor

2021-01-25 20:15:41 4297

转载 python手势轮廓提取

1.肤色def A(img)是肤色判断这里使用的是椭圆肤色检测模型在RGB空间里人脸的肤色受亮度影响相当大,所以肤色点很难从非肤色点中分离出来,也就是说在此空间经过处理后,肤色点是离散的点,中间嵌有很多非肤色,这为肤色区域标定(人脸标定、眼睛等)带来了难题。如果把RGB转为YCrCb空间的话,可以忽略Y(亮度)的影响,因为该空间受亮度影响很小,肤色会产生很好的类聚。这样就把三维的空间将为二维的CrCb,肤色点会形成一定得形状,如:人脸的话会看到一个人脸的区域,手臂的话会看到一条手臂的形态。2.轮廓处

2021-01-24 20:35:29 934

原创 adb控制手机

1.环境配置安装adb:https://adbshell.com/downloads/然后将其添加到环境变量:比如adb.exe 具体路径为F:\pro\adb.exe,那么在windows下,将F:\pro追加到环境变量里的“Path”里即可打开cmd,输入adb,如下图则正常2.手机设置手机打开开发者模式,打开USB高度,允许文件传输,并连接到PC上。不同手机自行百度3.操作连接好后,打开cmd,输入adb devices显示如下:则正常然后就是python操作了:比如手机

2021-01-24 20:22:19 924 2

原创 kali填坑记录

1.版本kali-linux-2019.2的长这样:kali-linux-2020.4的长这样:变化太大,像是在追求windows风格2.密码之前的只有root一个用户,用户:root密码:toor2020.4的就变了,有普通用户模式用户:kali密码:kali...

2021-01-19 09:39:21 247 1

转载 报错:Unable to open serial port /dev/ttyUSB0 ubuntu或者 (ttyACM)

Unable to open serial port /dev/ttyUSB0 ubuntuUnable to open serial port /dev/ttyACM0 ubuntu这是由于没有权限的问题解决方法:打开终端输入:(若使用的是ttyACM将ttyusb替换即可)sudo gedit /etc/udev/rules.d/70-ttyusb.rules在文件内增加一行: KERNEL=="ttyUSB[0-9]*",MODE="0666"...

2021-01-18 09:55:40 3544 1

高通的绝密VERILOG-编码规范(中文版)verilog coding style.pdf

高通的绝密VERILOG_编码规范(中文版)verilog coding style

2023-11-08

负包裹卷积运算,博客的Markdown文件

负包裹卷积运算,博客的Markdown文件,基于数学变换的负包裹卷积运算。

2022-11-23

ASIC&FPGA教程

谢里夫理工大学(Sharif University of Technology,SUT)的ASIC&FPGA教程全部slides。 包括ASIC设计全流程,FPGA设计全流程。从RTL到GDS,有详细的讲解

2022-08-30

doxygen-1.9.3-setup.exe htmlhelp.exe graphviz-install-2.50.0

三个软件 doxygen-1.9.3-setup.exe htmlhelp.exe graphviz-install-2.50.0

2022-02-10

Typora主题合集,从官网上下载的主题,进行了融合修改

包含原.css文件和修改后的.css文件 dracula.css github-night-high-contrast.css github-night.css github.css gothic.css han.css mint-dark.css mint.css mlike-light.css mlike.css mo-dark.css mo.css newsprint.css night.css notes-dark.css onedark.css pixyll.css whitey.css xydark.css xydarkfocus.css xylight.css

2022-02-07

原板厂例程,包含Zynq下的vivado工程、vitis工程,以及对应的说明文档!

原板厂例程,包含Zynq下的vivado工程、vitis工程,以及对应的说明文档!

2022-01-22

轻量级verilog软件.zip

搭载轻量级Verilog开发平台

2022-01-12

开放式实验CPU设计源码

《开放式实验CPU设计》书中的VHDL源码

2021-10-04

Modelsim仿真脚本.zip

一个简单的Modelsim仿真使用脚本

2021-07-27

欧拉函数的几个性质及证明.pdf

给出了欧拉函数的部分性质的证明

2021-06-15

baiwei_fps.zip

包括百为stm32原理图(pdf版)、ov7670相关资料、完整Keil5工程。本人注明了ov7670(带FIFO)的引脚连接方式(注意是带FIFO的ov7670)

2021-05-23

global.prop.zip

keil mdk530的背景颜色更改

2021-05-21

扬创sc2440.zip

包括的内容有:原理图、YC2440开发指南-utuLinux篇、YC2400开发指南-wincet篇、开发硬件手册-ubuntu2440-F-V4.1、U-Boot在S3c2440上移植、系统介绍ppt。

2021-03-13

将人从图像中抠取出来

批量处理图像,将人物图像从图像中抠取出来,并保存,已经写好了安装环境以及执行顺序,python源码,直接运行即可。 安装环境: python -m pip install paddlepaddle -i https://mirror.baidu.com/pypi/simple pip install -i https://mirror.baidu.com/pypi/simple paddlehub

2021-01-24

解决win10下SD卡不识别的读卡器驱动64位(附教程).rar

解决win10下SD卡不识别的读卡器驱动64位(附教程),包括一个word文档和一个驱动文件

2021-01-18

五子棋的python文件

python写的五子棋,人机对弈模式。人机的机对弈不目前不够智能,可以后期进一步完善,但基本框架有了。

2021-01-15

PSound AI Card用户手册

关于PSound AI Card的安装使用教程,讲述很详细,对应于树莓派的安装。来源于淘宝,这里只是方便大家下载,资料里的语音识别可以改成自己的库,主要讲的是对应的硬件模块的驱动搭建之类的东西

2020-10-24

半导体物理考点笔记影印-WLH.pdf

关于半导体物理的一些知识总结,主要是刘恩科的那本书,笔记是影印版的,较为清晰,需要复习用的可以下载阅读,我科的笔记质量保证。

2020-09-11

信号与系统的三种变换.docx

从奥本海姆那本《信号与系统》中总结的三个变换:傅里叶变换包括连续和离散、拉普拉斯变换、以及用于处理离散系统的Z变换,篇幅不长,只总结了比较重要的公式和性质。

2020-07-31

§7.3差分方程及其求解.pdf

讲的很详细,解法很到位的差分方程解法,包括系统框图。是pdf格式的ppt,实力推荐。对于学习信号系统的相关基础知识很有帮助。

2020-05-01

app-python-树莓派.zip

文件包含app工程(android studio开发的),.apk以及python文件,可实现pc与android手机之间的通信。初衷是用来对树莓派控制的机器人来发送指令,所以是指令操纵的界面,只用于学习交流,禁止用于商业用途。

2020-04-08

VHDL数据类型(vhdl语法).pdf

入门级别的VHDL的讲义ppt,对新手很友好,对于解VHDL的基本语法足够了。(注意不是verilog,是vhdl)

2020-03-29

C语言超级玛丽.zip

使用C语言实现的超级玛丽,整个项目工程都在里面,可以直接跑,还附上了C语言的库函数,方便各位学习。工程项目是用Visual Studio开发的,界面朴实。

2020-03-12

基于DE2-115开发板用Verilog写的七人抢答器

基于开发板DE2-115写的七人抢答器,用quartus17写的,工程已经在里面了,可以直接烧。这本是一位非专业的朋友让我写的,随手写的,亲测可用

2020-02-21

fpga图像显示、缩放、移动、旋转.zip

整个工程文件是基于quartus的。可以直接在fpga开发板上跑,本人用的是DE2-115,修改分配引脚后可用于其他fpga开发板

2020-01-18

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除