自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

开源只为滥竽充数

二次元の开发者

  • 博客(32)
  • 资源 (20)
  • 收藏
  • 关注

原创 基于SAMD21G17D的触摸滑条USB HID设备实现及控制PC音量的功能开发(USB HID+MPLAB)

基于 Microchip 的 SAMD21 Curiosity Nano 核心板及 Curiosity Nano EVB 扩展板,通过 USB HID 协议实现了一个键盘设备,通过使用 Touch 库实现了对触摸滑条左右滑动的识别,将不同方向滑动作为两个按键(F2、F3)按下,上报至 PC,从而实现 PC 音量调节。

2024-03-12 23:58:26 932

原创 基于XG24-EK2703A的BLE HID蓝牙键盘+鼠标复合设备功能开发(BLE+HID+FreeRTOS+Gecko SDK)

本项目基于Silicon Labs XG24-EK2703A开发板,通过HID协议实现了一个蓝牙键盘+鼠标复合设备,可通过按键实现上下翻页、发送字符功能。使用板载两个按键,当BTN0按下,向上翻页;当BTN1按下,向下翻页;当两按键同时按下2s后,向主机依次发送字符“EETREE.CN”。

2024-01-18 23:38:20 1177

原创 基于nRF7002-DK的NFC功能切换系统(nRF Connect SDK+NFC)

本项目基于nRF7002-DK,使用nRF Connect SDK v2.4.2 开发,使用NFC外设,实现NFC记录英文文本信息、中文文本信息与打开安卓应用三个功能,并可通过按键切换,通过手机NFC触碰即可触发。

2023-09-20 20:26:01 673

原创 基于ESP32-S3-BOX-Lite的语音合成与播报系统(esp-idf+WiFi+HTTPS+TTS)

本项目基于ESP32-S3-BOX-Lite,使用 esp-idf 开发,连接 WiFi 并发出 HTTPS 请求,得到B站用户数据的返回信息,再使用 cJSON 完成 json 数据解析,最后通过乐鑫的 TTS 实现语音合成与播报。

2023-07-19 09:05:42 1867 2

翻译 论文精翻《Progressive Tandem Learning for Pattern Recognition With Deep Spiking Neural Networks》

脉冲神经网络(SNNs)由于其事件驱动和稀疏通信的特性,在低延迟和高计算效率方面比传统人工神经网络(ANN)显示出明显的优势。然而,深度SNN的训练并不简单。在本文中,我们提出了一种新的ANN-to-SNN转换和分层学习框架,用于快速有效的模式识别,称为渐进串联学习。通过研究ANN和SNN在离散表示空间中的等价性,引入了一种原始网络转换方法,充分利用脉冲计数来近似ANN神经元的激活值。为了补偿由原始网络转换引起的近似误差,我们进一步引入了一种分层学习方法,使用自适应训练调度器来微调网络权重。

2023-03-18 10:18:05 469 1

翻译 论文精翻《Cognitive Computing Programming Paradigm: A Corelet Language for Composing Networks of ...》

根据DARPA SyNAPSE路线图,IBM推出了TrueNorth认知计算系统的创新三部曲,其灵感来自大脑的功能和效率。冯·诺依曼架构的顺序编程范式完全不适合TrueNorth。因此,作为我们的主要贡献,我们开发了一种新的编程范式,允许构建复杂的认知算法和应用程序,同时对TrueNorth和程序员的生产力有效。编程范例包括:(a)一个名为Corelet的TrueNorth程序的抽象,用于表示一个神经突触核心网络,该网络封装了除外部输入和输出之外的所有细节;(b)用于创建、组合和分解Corelet的面向对象

2023-02-22 23:21:16 399

翻译 论文精翻《SNEAP: A Fast and Efficient Toolchain for Mapping Large-Scale Spiking Neural Network onto ...》

脉冲神经网络(SNN)作为第三代人工神经网络,已广泛应用于视觉和音频任务中。目前,许多神经形态平台支持SNN仿真,并采用片上网络(network -on- chip, NoC)架构进行多核互连。然而,互连给平台带来了巨大的区域开销。此外,互连上的运行时通信对平台的总功耗与性能有显著影响。在本文中,我们提出了一种名为SNEAP(Spike NEural network mAPping toolchain)的工具链,用于将SNN映射到多核神经形态平台,旨在降低脉冲通信在互连上带来的能量和延迟。

2023-02-09 21:30:04 590 1

翻译 论文精翻《A Tandem Learning Rule for Effective Training and Rapid Inference of Deep Spiking Neural ...》

SNN代表了神经形态计算(NC)架构中最突出的生物启发计算模型。然而,由于脉冲神经元函数的不可微性,标准误差反向传播算法不能直接适用于SNN。在这项工作中,我们提出了一个串联学习框架,由一个SNN和一个通过权值共享耦合的人工神经网络(ANN)组成。人工神经网络是一种辅助结构,便于在脉冲序列级别训练SNN的误差反向传播。为此,我们将脉冲计数作为SNN中的离散神经表示,并设计了一个可以有效近似耦合SNN的脉冲计数的ANN神经元激活函数。

2023-01-04 20:10:12 522

原创 基于FireBeetle 2 ESP32-E开发板的LVGL移植及传感器显示(Arduino+TFT_eSPI+LVGL)

本项目基于FireBeetle 2 ESP32-E,使用VSCode+Arduino插件开发,通过TFT_eSPI驱动LCD屏幕,并移植LVGL。搭配SHT40温湿度传感器与VL53L0激光测距传感器,将检测的数据较为美观地显示在屏幕上。

2022-12-31 22:26:04 2925 2

原创 ARM汇编实现二维卷积/图像卷积(汇编语言)

使用ARM汇编语言,计算两个二维数组A和B的卷积,通过软件仿真验证。实际计算的矩阵大小可自行修改,算法是相同的。

2022-10-16 17:40:10 798

原创 基于英飞凌AURIX TC275 Lite的三核轮休工程

本项目基于AURIX TC275 Lite开发板套件,使用AURIX Development Studio开发,实现了简单的三核轮休:CPU0检测按键按下,之后唤醒CPU1并翻转LED1,1秒后唤醒CPU2并翻转LED2,之后进入系统休眠状态。

2022-09-04 19:17:27 1172

原创 基于M5StickC Plus的可定时电子沙漏电子沙漏(LCD+软SPI+Arduino/C++)

基于M5StickC Plus及其SDK,使用Arduino与VSCode开发,通过软SPI驱动两块LED矩阵,作为电子沙漏显示面板,实现按键控制电子沙漏工作状态、定时、在LCD上显示倒计时,同时在LED矩阵上显示沙漏滴落的动态效果。...............

2022-08-14 22:09:24 3233

原创 基于Syntiant TinyML Board与Edge Impulse的LED语音控制(Arduino/C++)

本项目基于Syntiant TinyML Board,通过Edge Impulse平台,在Web端实现数据采集、网络训练、模型部署,将神经网络模型固件下载至NDP101内,实现了三种中文指令控制LED灯,闪烁、开灯、关灯。

2022-06-30 10:53:45 911

原创 基于STM32G031的失真度测试仪(CubeMX+ADC+DMA+OLED+EC11)

基于STM32G031及CubeMX、Keil,实现了PWM+LPF输出正弦波,通过ADC+DMA采集输入信号,并显示在了OLED屏幕上;实现了FFT、THD计算,显示了归一化功率谱、对数坐标显示失真度曲线。

2022-02-17 17:18:56 11593 6

原创 基于Wio Terminal的自动联网的天气预报仪(Arduino/C++)

基于Wio Terminal的自动联网天气预报仪,基于Arduino IDE及VSCode开发,可以连接WiFi后通过HTTP获取和风天气提供的天气API数据,通过JSON解析,并显示在LCD上,以及一些杂七杂八的实用功能。

2021-12-29 10:20:10 3387

原创 FastBond智能可穿戴之智能手表原型设计(MAX32660+SHT40+ADXL345+OLED+RTC)

MAX32660主控芯片,ADXL345加速度计测量倾角,SHT40测量温湿度,配有SS1306 12864 4-SPI OLED屏,通过RTC计算、显示万年历、倾角、温湿度信息,时间每秒刷新一次,倾角、温湿度每一分钟刷新一次,按键可即刻更新倾角、温湿度信息。...

2021-11-28 15:13:13 2660

原创 基于LPC55S69移植Micropython,并实现GPIO与串口通信

基于LPC55S69移植Micropython,并实现GPIO与串口通信——

2021-10-30 10:41:59 592

原创 基于Lattice XO2-4000HC FPGA核心板的SSD1306 OLED12832驱动芯片指令及工作方式详述(Verilog)

针对SSD1306 OLED显示屏驱动的指令及工作(扫描)方式进行较为详细的说明,并结合OLED驱动模块的部分代码进行详细分析。

2021-08-26 14:38:39 1559

原创 基于Lattice XO2-4000HC FPGA核心板ADS7868驱动模块及波形分析(Verilog)

针对ADC数字电压表及OLED显示设计中的ADS7868驱动模块代码及工作时序进行分析比较

2021-08-24 20:19:45 793

原创 基于Lattice XO2-4000HC FPGA核心板及电子森林综合训练底板的ADC数字电压表及OLED显示设计(Verilog)

基于Lattice XO2-4000HC核心板及电子森林综合训练底板,实现了通过串行ADC对旋转电位计产生的电压进行转换,并将电压值显示在数码管及OLED屏幕上。

2021-08-24 20:16:50 1466 1

原创 2020年北京交通大学电子系统课程设计——病毒大作战方案记录

仅做记录使用。目录设计任务基本部分提高部分设计方案单片机设计算法流程Keil5+C语言程序设计外部电路设计信号接收声音输出电机驱动信号发生调试、测试与使用调试与测试使用说明设计任务该部分见电子系统课程设计-病毒大作战系统-设计任务书。以下部分简单概括。基本部分加农炮具有开炮能力,即炮管能够发出频率为10kHz(误差1kHz的高亮红光信号);免疫系统能够探测到感染病毒的细胞,并点亮指示灯提示 ;加农炮炮塔应能自动旋转,炮管自动瞄准被病毒感染的细胞后开炮(炮塔停止转动并持续开炮0.5s);

2021-01-28 21:33:33 634

原创 数值计算(王兵团老师)笔记第一章及全文

本笔记根据学堂在线的数值计算(王兵团老师)课程整理,学识尚浅,疏于核查,难免有疏漏之处。

2020-08-25 11:35:28 1132 2

原创 AT89C51单片机共阳极数码管动态显示(汇编语言)

本文应用AT89C51芯片,使用该芯片的单片机型号有JD51等。这是一个简单的动态显示共阳极数码管的汇编程序,74HC573完成段选,位选由单片机I/O端口完成。Proteus电路图只要看该部分电路连线即可,完整JD51单片机电路图见后方资料。汇编程序ORG 00HSTART:SETB P2.5;开启74HC573使能端MAIN:CLR P2.0MOV P0,#0A4H;显示2LCALL DELAYSETB P2.0CLR P2.1MOV P0,#0C0H;0LCALL D

2020-06-24 20:18:06 17238 7

原创 Matlab v_specsub函数(谱减法)参数详解(英文附例)

Matlab v_melcepst函数参数详解(英文附例)需下载voicebox安装至matlab路径下v_specsubm.m更新为v_specsub.m,详情参见函数源文件。函数解释function [ss,gg,tt,ff,zo]=v_specsub(si,fsz,pp)%V_SPECSUB performs speech enhancement using spectral s...

2020-04-15 18:55:22 1575

原创 Matlab 边缘检测实现与比较

边缘检测包括三个基本内容:抽取出反映灰度变化的边缘点剔除某些边界点或填补边界间断点将这些边缘连接成完整的线边缘检测算子基于一阶导数梯度:G(x,y)=[GxGy]=[∂f∂x∂f∂y]G(x,y)= \begin{bmatrix} G_x\\ G_y \end{bmatrix}= \begin{bmatrix} \dfrac{\partial f}{...

2020-04-10 14:13:08 1896

转载 基于音乐识别的频谱转换算法——常数Q变换CQT(转载修改)

傅立叶变换的缺点由于在音乐中,所有的音都是由若干八度的12平均律共同组成的,这十二平均律对应着钢琴中一个八度上的十二个半音。这些半音临近之间频率比为21/12。显然,同一音级的两个八度音,高八度音是低八度音频率的两倍。因此在音乐当中,声音都是以指数分布的,但我们的傅立叶变换得到的音频谱都是线性分布的,两者的频率点是不能一一对应的,这会指使某些音阶频率的估计值产生误差。所以现代对音乐声音的分析,...

2020-04-07 21:50:19 9561

原创 Matlab MFCC音乐特征匹配与DTW算法识别(含GUI设计)

本文主要分析MFCC构建特征库及其后续的特征匹配识别的Matlab实现,不侧重原理分析,着眼于Matlab实现——

2020-04-01 22:34:02 8317 30

原创 Matlab mfcc函数参数详解(英文附例)

Matlab mfcc函数参数详解其实可以直接打开源代码看哈。%MFCC Extract the mfcc, log-energy, delta, and delta-delta of audio signal% coeffs = MFCC(audioIn,fs) returns the mel-frequency cepstral% coefficients over time ...

2020-04-01 21:55:11 1950

转载 Matlab中MFCC的几种实现方式(转载)

相关的函数melbankm、mfcc_m、melcepst、cepstralFeatureExtractor、mfcc、HelperComputePitchAndMFCC、 melSpectrogram几种函数对比及说明melbankm由Voicebox提供,在Mel频率上设计平均分布的滤波器,此函数与音频信号没有关系,只是做MFCC前对滤波器的设计。function [x,mc,m...

2020-03-28 16:00:37 2803

原创 Matlab v_filterbank函数参数详解(英文附例)

Matlab v_filterbank函数参数详解(英文附例)请注意,“v_”开头的函数均在voicebox中使用,将此工具箱添加至路径即可。函数解释v_filterbank appply v_filterbank to a signal: [y,zo]=(b,a,x,gd)Inputs:b numerator coefficients, one row per filtera...

2020-03-28 15:15:54 1132

原创 Matlab v_melcepst函数参数详解(英文附例)

Matlab v_melcepst函数参数详解(英文附例)笔者使用的是R2019的matlab,下载了voicebox安装至matlab路径下即可使用。下载voicebox请参看此博客需要注意的是,melcepst改成了v_melcepst,这与上篇中提到过v_melbankm功能相似。函数解释v_melcepst Calculate the mel cepstrum(倒谱) of a s...

2020-03-28 15:10:17 1819

原创 Matlab v_melbankm函数参数详解(英文附例)

Matlab v_melbankm函数参数详解(英文附例)笔者使用的是R2019的matlab,下载了voicebox安装至matlab路径下即可使用。下载voicebox请参看此博客需要注意的是,melbankm改成了v_melbankm,今天自己使用此函数时后面几个参数不知道含义,翻了源文件看看,比较懒,没翻译成中文。函数解释v_melbankm determine matrix fo...

2020-03-27 20:31:49 5663

Progressive Tandem Learning for Pattern Recognition With Deep S

《Progressive Tandem Learning for Pattern Recognition With Deep Spiking Neural Networks》,论文原文

2023-03-17

Cognitive Computing Programming Paradigm: A Corelet Language for

《Cognitive Computing Programming Paradigm: A Corelet Language for Composing Networks of Neurosynaptic Cores》,IEEE论文原文

2023-02-22

A Tandem Learning Rule for Effective Training and Rapid Inferenc

《A Tandem Learning Rule for Effective Training and Rapid Inference of Deep Spiking Neural Networks》,论文原文

2023-01-04

基于M5StickC Plus的电子沙漏工程源文件

包含基于VSCode与Arduino插件的工程文件,包括电子沙漏(含倒计时逻辑)与重力电子沙漏(不含倒计时逻辑)两个工程源文件,内有README。仅供参考、学习使用,代码请注意遵循的License,不保证效果、不保证复现。文章参见:https://blog.csdn.net/weixin_46422143/article/details/126303016

2022-08-15

基于Syntiant TinyML Board与Edge Impulse的LED语音控制的工程文件

包含Arduino工程文件、Edge Impulse上训练得到的NN固件,以及下载脚本,内有README。仅供参考、学习使用,代码请注意遵循的License,不保证效果、不保证复现。文章参见:https://blog.csdn.net/weixin_46422143/article/details/125528750

2022-06-30

基于STM32G031失真度测量仪工程文件

基于STM32G031失真度测量仪工程文件,内附CubeMX配置ioc文件及Keil工程文件,文章参见https://blog.csdn.net/weixin_46422143/article/details/122949391

2022-02-20

Matlab MFCC音乐特征匹配与DTW算法识别(含GUI设计)

Matlab MFCC音乐特征匹配与DTW算法识别(含GUI设计)项目的源代码,时间有点久了代码可能没有上传全,不保证使用效果,仅供学习参考。GUI在MATLAB中已废弃,不推荐使用,最好改用APP Designer。

2022-01-11

基于Wio Terminal的自动联网天气预报仪

基于Wio Terminal的自动联网天气预报仪的代码源码,C++,基于Arduino IDE与VS Code开发,包括该项目需要下载至TF卡的字体及图片资源。

2022-01-01

MAX32660_DEMO-1128.rar

FastBond智能可穿戴之智能手表原型设计。MAX32660主控芯片,ADXL345加速度计测量倾角,SHT40测量温湿度,配有SS1306 12864 4-SPI OLED屏,通过RTC计算、显示万年历、倾角、温湿度信息,时间每秒刷新一次,倾角、温湿度每一分钟刷新一次,按键可即刻更新倾角、温湿度信息。

2021-11-28

基于LPC55S69移植RT-Thread及Micropython的示例代码

基于LPC55S69移植RT-Thread及Micropython,并实现GPIO与UART

2021-10-30

小脚丫STEP FPGA Training V2.0硬件手册.pdf

小脚丫STEP FPGA Training V2.0硬件手册,版权归小脚丫STEP FPGA所有,请勿用于学习之外用途。

2021-08-24

基于Lattice的XO2-4000HC FPGA的核心模块的综合训练底板原理图及硬件手册.pdf

基于Lattice的XO2-4000HC FPGA的核心模块的综合训练底板原理图及硬件手册,版权归电子森林所有,请勿用于学习之外用途

2021-08-23

STEP-MXO2V2.2原理图.pdf

STEP-MXO2 FPGA Board V2.2,芯片采用LCMXO2-4000HC-4MG132C,版权归STEPFPGA所有

2021-08-23

ads7868.pdf

TI公司ADS7866/ADS7867/ADS7868芯片手册

2021-08-23

stc-isp-15xx-v6.87O.zip

STC系列单片机USB串口烧写

2021-01-28

病毒大作战Keil.rar

2020电子课程设计——病毒大作战单片机部分(Keil5+C),原创不易请理解

2021-01-28

总电路更正.pdsprj

2020电子课程设计——病毒大作战电路原理图

2021-01-28

全国大学生电子设计竞赛历届赛题(1994-2019年).pdf

全国大学生电子设计竞赛历届赛题(1994-2019年)

2021-01-27

电子系统课程设计-病毒大作战系统-设计任务书.pdf

2020年BJTU电子系统课程设计(病毒大作战系统)设计任务书,版权属北京交通大学所有,课程需要,请勿用于学习之外用途。

2021-01-27

数值计算(王兵团老师)笔记

数值计算笔记,根据学堂在线数值计算(王兵团老师)课程所总结,共7章,30小节。本笔记由Typora编写,Markdown格式。请勿用于学习之外用途。

2020-08-25

JDC51.pdsprj

AT89C51基本Proteus电路图,结合实际单片机硬件而制作,可据具体情况进行修改。AT89C51基本Proteus电路图,结合实际单片机硬件而制作,可据具体情况进行修改。

2020-06-24

基于MFCC的DTW语音识别

DTW算法,与matlab自带算法类似,只是不需要转置矩阵了。(但是二者算出的值不一样,具体效果待测)

2020-04-16

v_specsub.m

Matlab谱减法函数源文件,下载voicebox也有。Matlab谱减法函数源文件,下载voicebox也有。Matlab谱减法函数源文件,下载voicebox也有。

2020-04-15

MFCC系数提取一步到位函数

MFCC参数提取一步到位!我相信你也有这个M文件。我相信你真的有这个M文件。我相信你一定有这个M文件。

2020-04-01

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除