自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(172)
  • 资源 (29)
  • 收藏
  • 关注

原创 FPGA IP核的生成和调用

多数表决器工程建立1、creat project----next-----给工程命名----选择工程存放位置2、next----点击RTL Project3、创建源文件4、一直next,选择器件5、指定输入输出6、编写源代码7、add 仿真文件7、编写仿真文件8、run simulation8、添加约束文件9、编写约束文件9、点击run synthesis,点击 run implementation。结果如下10、 打开TRL 分析I

2020-09-10 19:16:22 675

原创 电子战与军用雷达的发展

2023-06-07 17:03:02 296

原创 如何将复矩阵的求逆转换成实矩阵的求逆

2023-04-08 11:20:29 1000 1

原创 数字信号处理知识点

2023-03-07 19:41:37 3278

原创 目标跟踪基本原理

2023-02-21 15:14:04 282

原创 阵列信号处理

2023-02-15 19:36:51 666

原创 Word老是无响应的解决方法

2023-01-09 16:47:13 3056

原创 matlab分析FPGA的ILA数据(二进制)

2022-11-28 16:50:07 708

原创 matlab生成FPGA的coe文件(二进制)

2022-11-28 16:44:55 1304

原创 FPGA硬件测试注意点

2022-11-08 19:31:44 238

原创 坐标变换矩阵

2022-11-01 18:45:28 158

原创 matlab生成FPGA的coe文件(十进制)

2022-09-29 09:47:10 1697

原创 Verilog语法

2022-09-22 11:13:24 170

原创 阵列信号处理基础(二、方向图机理)

2022-09-15 17:48:50 1094

原创 通信知识点集合

2022-09-09 17:20:13 1182

原创 天线接收信号、处理系统

2022-09-09 16:25:05 1108

原创 GPS卫星信号模型

2022-09-09 11:48:10 270

原创 找出使数据拼接起来是连续的最大快拍数

2022-09-07 20:50:59 296

原创 抗干扰的性能指标

2022-09-06 18:41:29 2273

原创 射频通信电路相关概念

2022-09-06 11:51:34 301

原创 CSDN前面加空格

2022-09-05 16:03:09 138

原创 频谱和功率谱的区别与联系

2022-09-05 15:56:51 4370

原创 圆环阵方向图

方向图

2022-08-25 12:08:55 1036 1

原创 阵列信号处理知识点合集

2022-08-06 16:57:43 2871

原创 特征值和特征向量的求法

2022-07-15 09:45:46 1302

原创 特征值和特征向量的求法

2022-07-14 20:58:57 195

原创 MATLAB如何在原图插入一个局部放大图

2022-07-14 09:39:24 13999 2

原创 最优波束形成

最优波束形成准则比较

2022-07-09 10:23:41 473

原创 Word常见问题解决方法

word里面,如果我们要从第3页开始,将页码设置为第1页。如何实现?

2022-06-20 16:10:19 481

原创 标量形式的卡尔曼滤波的理解

2022-05-28 12:19:05 228

原创 信号内插(零阶保持滤波器+插零)

信号内插1 信号内插02信号内插输入信号(零阶保持滤波)1 信号内插0% // 程序功能说明:% // 1、用100M的系统钟产生10M的点频信号RtI(一个周期采10个点)% // 2、对RtI进行2倍内插,一个点插1个0% // 3、低通滤波器滤波,保留10M的信号%% 结论:内插过滤波后,会使得信号的幅度降低。% 如果内插的是0,那么内插2倍信号幅度变为原来的1/2。% 理由:从能量守恒定理考虑close all;clear all;clc;%

2022-04-23 11:53:27 2469

原创 相控阵天线低副瓣加权处理

辐射方向图实现低副瓣的方法介绍

2022-04-20 10:37:59 4272 10

原创 MUSIC算法---空间谱估计

MUSIC算法---空间谱估计1 基本原理1.1 噪声子空间和信号子空间1.2 MUSIC算法2 代码1 基本原理1.1 噪声子空间和信号子空间1.2 MUSIC算法2 代码%% MUSIC算法---空间谱估计%----单信源空间谱估计clear all;close all;clc;%% 参数设置c=3e8; % 光速fc=500e6; % 载波频率fs=1e9; % 采样频率1GHzSNR=

2022-04-18 20:52:08 5365 2

原创 利用CORDIC IP核计算两路信号的相位差

利用CORDIC IP核计算两路信号的相位差1 IP 说明1.1 DDS IP核1.2 CORDIC IP核2 源代码3 结果分析1 IP 说明1.1 DDS IP核The output frequency(f_out ) , of the DDS waveform is a function of the system clock frequency(f_clk ) .the phase width, that is, number of bits (B ) in the phase accumu

2022-04-12 21:30:46 2265 1

原创 vivado 除法器ip核的使用

vivado 除法器ip核的使用1 IP 例化2 源文件3 结果1 IP 例化2 源文件top`timescale 1ns / 1ps//**Algorithm Type:选择不同的算法模式,其中Radix2为常用的模式,LutMult当时数较小的时候使用,High Radix是当数很大的时候使用。常用的是Radix2,此处也是选择的为Radix2.//Dividend Width:被除数的位数。//Divisor Width:除数的位数。//Remainder Type:选择余数的模式

2022-03-28 10:09:01 5463

原创 FPGA实现信号n倍插值(内插0)

FPGA实现信号n倍插值(内插0)1 MATLAB仿真1.1 源代码1.2 仿真结果2 FPGA实现2.1 系统结构2.2 源代码2.3 仿真结果3 完整工程链接1 MATLAB仿真1.1 源代码% // 程序功能说明:% // 1、用100M的系统钟产生10M的点频信号RtI(一个周期采10个点)% // 2、对RtI进行三倍内插,一个点插两个0% // 3、低通滤波器滤波,保留10M的信号close all;clear all;clc;%%%%%%%%%%%%%%%%%%%

2022-03-26 23:09:31 5514 17

原创 VHDL语法

VHDL语法1 常用函数1 常用函数(1) conv_std_logic_vector-- conv_std_logic_vector 是一个函数 :将十进制数转换成二进制补码frequncy <= conv_std_logic_vector(19600,16); --将十进制数转化为16bit的二进制补码...

2022-03-26 17:58:21 1851

原创 matlab图例使用技巧

matlab曲线标注方法

2022-03-11 20:51:18 2496

原创 FFT和FIR滤波器,方向图的关系

close all;clear all;clc;%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% 参数定义%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%c=3e8;f=10e9; % 信号频率lamda=c/f; % 长d=lamda/2; % 阵元间距 7mm 根据辐射信号频率定bujing=0.1;theta=-90:bujing:90; theta0=0; L

2022-03-04 21:32:14 957

原创 MATLAB寻找峰值函数

MATLAB寻找峰值函数1 向量搜索(一维)2 矩阵搜索(二维)1 向量搜索(一维)第一种:pks=findpeak(A);[pks,locs]=findpeaks(A); %pks峰值;locs峰值位置。第二种:IndMin=find(diff(sign(diff(A)))>0)+1; %找波谷IndMax=find(diff(sign(diff(A)))<0)+1; %找波峰2 矩阵搜索(二维)...

2022-03-03 21:50:09 5586 4

我对卡尔曼滤波的理解(很详细)

1、状态噪声和测量噪声的含义和对滤波的影响; 2、状态空间模型对滤波的影响; 3、卡尔曼增益的由来和物理含义。

2023-05-28

利用Vivado的 FFT IP 核估计信号的幅度和频率

1、频率估计:计算公式:m_axis_data_tuser* fs/COUNT=82*250M/1024= 20.0195MHz 2、幅度估计:如果输入的是复信号,最后输出的值是信号幅度的有效值。如果输入的是实信号,最后输出的值是信号幅度的有效值的一半。

2022-10-01

空时抗干扰自由度分析,空域和时域的自由度分析

空时抗干扰自由度分析,空域和时域的自由度分析

2022-08-06

vivado FIFO的使用

vivado FIFO的使用

2022-03-28

FPGA实现信号n倍插值(内插0)

FPGA实现信号n倍插值(内插0)

2022-03-26

ZYNQ程序固化操作手册,非常详细

ZYNQ程序固化操作手册,非常详细

2022-03-06

FPGA程序固化步骤,非常详细

FPGA程序固化步骤,非常详细

2022-03-06

PL端的数据通过DMA传到PS端,每次传输数据的位宽为64bit,深度为2048.

1、数据传输没有遗漏,PL端的数据和PS端的数据一致。

2022-03-05

FIFO的读写控制.zip

FIFO的读写控制

2022-01-07

FIR IP的滤波器系数重加载方法.zip

FIR Compiler模块提供了两种滤波器系数重加载方法。 1、reload方法,利用reload接口写入新系数。 2、config方法,提前将系数分组后写到Coefficient Vector中,再通过config接口写入系数组编号,选择相应的滤波器系数。与reload方法相比,config方法较为简单,缺点是灵活性不够,需要提前规划好所有需要重配置的滤波器系数。

2022-01-05

LMS算法的基本理论和MATLAB仿真.zip

LMS算法的基本理论和MATLAB仿真.zip

2021-12-09

卡尔曼滤波之Singer模型.zip

基本卡尔曼滤波、扩展卡尔曼滤波器算法之Singer算法

2021-09-13

如何将DDR的数据传给PL端

如何将DDR的数据传给PL端

2021-07-19

FPGA如何利用查表法得到某角度所对应的正弦值、余弦值

1、FPGA如何利用查表法得到某角度所对应的正弦值、余弦值 2、完整文件 3、详细分析

2021-07-12

pl_FIR_hilbert_v2_modl.zip

1、MATLAB产生希尔伯特滤波器的输入数据; 2、在FPGA将输入数据变成复信号,输出数据的实部和虚部

2021-07-07

滤波器延时的确定分析.zip

1、包含基本原理 2、包含matlab代码

2021-06-11

F_3_way_line.m

均匀线阵方向图的三种形成方法

2021-06-08

数字下变频(DDC).zip

数字下变频matlab仿真

2021-06-08

成型滤波器原理和matlab仿真.zip

1、成型滤波器基本原理介绍 2、成型滤波器的设计与matlab仿真

2021-06-08

SAR雷达成像MATLAB仿真.zip

1.回波信号的产生。 2.距离压缩(预滤波;距离向FFT;距离向匹配滤波;IFFT,完成距离压缩)。 3.方位压缩(方位向FFT;距离迁移校正;方位向匹配滤波;方位向IFFT)。

2021-06-08

repo_AD9238.zip

FPGA实现将ADC的数据 转化为AXI stream接口

2021-06-08

pl_AD9238_v5.zip

1、使用FPGA采集外部数据 2、实现两路通道数据采集

2021-06-08

dma_loopback_zdyz.zip

1、完成使用PL的AXI DMA IP核从DDR3中读取数据,并将数据写回到DDR3中。 2、原理介绍 3、完整工程

2021-06-08

关于BPSK、QPSK、MSK、QAM的调制与解调

1、关于BPSK、QPSK、MSK、QAM的调制与解调 2、噪声的产生 3、滤波器的设计和使用 4、星座图、眼图的绘制

2020-06-11

16点阵(终).zip

设计并制作一个点阵分辨率不低于16*16的LED显示屏,在控制器的管理下,可以显示文字和图片,并有不同的显示效果(例如:上、下、左、右移动),并可以通过一个光笔在屏上实现点亮、划亮和书写等功能。LED点阵模块的发光颜色不限,但要求分辨率不低于16&times;16。各种功能的切换方式自定,但应力求操作简单和演示方便。系统应采用5V单电源供电。LED点阵模块应直接受控制器控制,中间不可使用带MCU的成品控制器。

2020-06-11

MSK_signal.m

msk信号的原理,调制过程,以及波形图观察。 最小频移键控(MSK)是2FSK的改进。2FSK体制虽然性能优良、易于实现,并且应用广泛,但是它也有不足之处。首先,它占用的频带宽度比2PSK大,即频率利用率较低。其次若用开关法产生2FSK信号,则相邻码元波形的相位可能不连续,信号会包含很多高频分量。因此在通过带通特性的电路后,由于通频带的限制,高频分量被抑制,使得信号波形的包络产生很大起伏。此外,一般来说,2FSK信号的两种码元波形不一定严格正交。若二进制信号的两种码元相互正交,则其误码率性能将更好。

2020-06-01

bpsk_tiaozhi_jietiao.m

1、信号处理如何根据信号的发送与接收流程图编写代码。 2、BPSK信号的调制与解调,低通滤波和匹配滤波的比较,星座图和眼图的绘制 3、数字信号处理部分函数调用参数的说明。

2020-05-25

bpsk_rand.m

利用数字调制bpsk估计相关参数,例如码元速率,带宽,功率谱密度等,并绘制BPSK信号的时域波形,频域波形。

2020-05-25

模块化编程 _8X8点阵(显示心hc595实现).zip

利用51单片机实现显示爱心,并且利用模块化编程和74hc595实现,节约了io口。基于proteus的汉字点阵显示设计与仿真,具有很大的使用价值

2020-01-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除