自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(49)
  • 资源 (10)
  • 收藏
  • 关注

原创 vscode配置latex环境

vscode配置latex环境

2023-12-18 11:23:16 1715 1

原创 Typora使用笔记

typora的使用

2023-02-01 10:51:52 229 1

原创 UART串行通信

FPGA

2022-08-26 10:08:37 4539

原创 AXI协议基础知识

1、AXI简介AXI(Advanced eXtensible Interface)是一种总线协议,该协议是ARM公司提出的AMBA(Advanced Microcontroller Bus Architecture)3.0协议中最重要的部分,是一种面向高性能、高带宽、低延迟的片内总线。它的地址/控制和数据相位是分离的,支持不对齐的数据传输,同时在突发传输中,只需要首地址,同时分离的读写数据通道、并支持显著传输访问和乱序访问,并更加容易就行时序收敛。2、AXI 特点单向通道体系结构,信息流只以单方向传

2022-05-26 16:11:47 4870 3

原创 VGA显示时序及生成行帧有效信号的Verilog代码

1.显示器电子枪扫描方式显示器的电子枪扫描方式如下:打开显示,扫描坐标从显示器的左上角开始,沿着第一个水平向右的箭头所示的方向逐个像素向右扫描。扫描到第一行最右侧的像素后,关闭显示,扫描坐标沿着第一个虚线的方向从第一行的末尾转移至第二行的行首。打开显示,扫描坐标从第二行的左上角开始,沿着第二个水平向右的箭头所示的方向逐个像素向右扫描。扫描到第二行最右侧的像素后,关闭显示,扫描坐标沿着第二个虚线的方向从第二行的末尾转移至第三行的行首。重复上述步骤,直至扫描至显示器的右下角时,关闭显示,扫描坐标

2022-03-19 22:09:05 1425 1

原创 将RGB数据转为图片的MATLAB代码

利用MATLAB将R,G,B通道的像素值转换为图片在进行硬件开发时,通常会产生R,G,B三个通道的像素数值,为了检验产生的像素数值是否正确 ,我们通常采用MATLAB代码将其还原成图像。利用MATLAB将RGB数值还原为图像的效果如下图所示,点击即可下载代码。...

2022-03-15 10:17:28 7198 4

原创 AXI协议中的4K边界问题

AXI中一次突发不能越过4K边界!4k边界是指低12bit全为0的地址,如32’h00001000,32’h00002000,32’h00001000等这些特殊的地址均为4k边界。4k边界对齐的最大原因是系统中定义一个page大小为4kBytes,为了更好设定每个slave的访问attribute,就给一个slave划分4k空间。AXI协议中一次突发不能越过4K边界是为了避免一笔burst交易访问两个slave(每个slave都是4k对齐),如果一次burst传输访问大于4k,则可能会造成地址从slav

2022-03-14 14:51:37 9753 6

原创 VS Code中调整Verilog语言代码提示优先级

VS Code集成了所有一款现代编辑器所应该具备的特性,包括语法高亮(syntax high lighting),可定制的热键绑定(customizable keyboard bindings),括号匹配(bracket matching)以及代码片段收集(snippets),如下图所示,其具有多行选中的功能,除此之外还具有自动纠错等功能,具体见用VS Code替代Vivado自带的文本编辑器以及vscode中好用的Verilog插件一文。但是,有时候会出现提示代码优先级突然改变的情况,即自己认为最常用

2022-03-13 16:24:31 953 2

原创 Xilinx DDR3 —— MIG IP核的读写仿真(APP接口)

在上一篇中,已经观看了Xilinx官方提供的MIG IP核读写例程仿真波形,本着学习的目的,本篇开始自己编写MIG IP核读写程序,用于驱动MIG IP核进行DDR 3数据的读写。由于没有DDR实物,这里直接借助官方提供的MIG IP核读写例程中的DDR3模拟程序,即直接在Xilinx官方提供的MIG IP核读写例程进行修改。只要掌握用户接口侧的操作时序,并严格遵照时序来编写代码,就能正确实现对 DDR3 的读写操作生成的IP核DDR3_CONTROL会有两组接口:一组是Memory interface

2022-02-23 13:55:54 2380 3

原创 Xilinx DDR3 —— MIG IP核的配置(APP接口)

1. 创建MIG IP核打开IP Catalog然后搜索mig,如下图所示:点击next

2022-02-23 13:54:03 4049 2

原创 Xilinx DDR3 —— MIG IP核的原理(APP接口)

DDR3 SDRAM(Double-Data-Rate Three Synchronous Dynamic Random Access Memory)是 DDR SDRAM的第三代产品,DDR SDRAM 的最大特点是双沿触发,即在时钟的上升沿和下降沿都能进行数据采集和发送,同样的工作时钟,DDR SDRAM 的读写速度可以比传统的 SDRAM 快一倍。以下为MIG IP 核结构框图。MIG IP 核对外分出了两组接口。左侧是用户接口,就是用户(FPGA)同 MIG 交互的接口,用户只有充分掌握了这些接

2022-02-23 13:53:16 6041 2

原创 Verilog中负数右移计算

对于带符号右移,若为负数,则在存储时首位表示符号位,其值为1,表示该值是负数的移位,在移位过程中,高位补1,若符号位是0,表示是正数,在移位过程中高位补零,两者的前提是符号位保持不变: 对于负数的右移:因为负数在内存中是以补码形式存在的,所有首先根据负数的原码求出负数的补码(符号位不变,其余位按照原码取反加1),然后保证符号位不变,其余位向右移动到X位,在移动的过程中,高位补1.等移位完成以后,然后保持符号位不变,其余按位取反加1,得到移位后所对应数的原码。即为所求。 举例1:

2022-01-30 17:53:09 2324

原创 C语言中将变量的数值打印到.txt文件

C语言中只需加入以下代码即可将变量的数值打印到.txt文件中。FILE *fp = fopen("file.txt", "a");fprintf(fp, "%d\n", 变量名);fclose(fp);

2022-01-09 17:52:43 4282

原创 vivado打印仿真数据至.txt文件

integer save_file;initial begin save_file = $fopen("C:/Users/Zhang KD/Desktop/test1.txt"); //打开所创建的文件;若找不到该文件,则会自动创建该文件。 if(save_file == 0)begin $display ("can not open the file!"); //如果创建文件失败,则会显示"can not open the file!"信息。

2022-01-09 17:50:07 5963 10

原创 Vivado FIFO IP核的使用

12. Native Ports页面FIFO IP核为高电平复位。34

2021-12-17 22:30:24 8449 8

原创 随机点名系统——微信小程序

开发一个具有随机点名功能的微信小程序

2021-11-28 21:20:56 11294 4

原创 MATLAB实现多维矩阵的转置

采用permute函数

2021-11-28 21:19:26 1887

原创 虚拟机VMware安装Windows7保姆级教程

1、下载VMware。  1.1 进入VMware官网,按下图操作进入下载界面。  1.2 进入下载页面后按下图所示操作。  1.3 按需下载。2、安装VMware。

2021-08-22 21:41:14 10756 1

原创 Word在试图打开文件时遇到错误

在安装WPS后,发现用office打开Word、PPT以及Excel时有时会出现以下错误:一般出现这样的问题是因为该文件用WPS打开后,再用office打开时就会出现以上问题,解决方案如下:右键属性->勾选“解除锁定”即可...

2021-08-11 17:47:52 586 1

原创 simulink的to workspace怎么将out.去掉

打开Simulink,按下快捷键Crtl + E,出现如下所示界面 ,去掉那个选项点击OK即可。

2021-08-05 10:37:04 2211 1

原创 Word中的一些操作

目录1.在Word中快速添加分割线1.在Word中快速添加分割线  在中间一行输入三个-号,然后点击回车,即可看见分割线

2021-06-12 13:54:37 86

原创 用MATLAB将手写签名变为电子版

利用MATLAB将手写签名变为电子版,代码如下:im=imread('C:\Users\Desktop\RAW.jpg');//原始图像的路径n=graythresh(im);im2bw(im,n);im2=im2bw(im,n);im2bw(im,n);原始手写签名照片:处理后的签名:

2021-05-29 11:47:53 275

原创 用VS Code替代Vivado自带的文本编辑器以及vscode中好用的Verilog插件

vscode中的Verilog插件

2021-05-27 14:41:43 9227 9

原创 Verilog的基本用法

数制需要用字母来表示,对应关系如下表所示:字母代表含义h十六进制d十进制o八进制b二进制数字表达式:<位宽><进制><数字>eg. 4’b1110 表示4位二进制数111010’d0表示10位宽的数值0,000000000010‘d15,则表示十进制15, 0000001111。.....................

2021-05-22 16:48:13 3399 1

原创 Vivado2018.1安装教程

Vivado2018.1安装步骤欢迎使用Markdown编辑器新的改变功能快捷键合理的创建标题,有助于目录的生成如何改变文本的样式插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一个自定义列表如何创建一个注脚注释也是必不可少的KaTeX数学公式新的甘特图功能,丰富你的文章UML 图表FLowchart流程图导出与导入导出导入欢迎使用Markdown编辑器你好! 这是你第一次使用 Markdown编辑器 所展示的欢迎页。如果你想学习如

2021-05-13 09:58:53 7969 1

原创 在simulink中,利用to workspace输出,如何决定输出的点的个数

在其属性中指定decimation,decimation指的是间隔几个计算点输出一个点Ctrl + E 选择 Data Import/Export 列表 修改 Limit data points to last 中的数字就成了

2021-05-09 15:14:45 4273

原创 Package hyperref Error: Wrong DVI mode driver option `dvipdfm‘,(hyperref) because XeTeX is running.

Package hyperref Error: Wrong DVI mode driver option `dvipdfm’,(hyperref) because XeTeX is running. \ProcessKeyvalOptions{Hyp}该报错信息意思是使用XeTeX模式进行编译的,但是原文档在调用hyperref时却指明使用dvipdfm模式。解决方法:1、在.tex文件中找到\usepackage[dvipdfm]{hyperref}语句,并将其改为\usepackage{hype

2021-02-10 22:57:33 5824 1

原创 LaTeX的安装教程(Texlive 2020 + TeX studio)

LaTeX安装步骤1、TexLive安装1.1 下载TexLive1.2 安装TexLive1、TexLive安装1.1 下载TexLive点击TexLive使用清华镜像进行下载。如下图所示。1.2 安装TexLive1.2.1 打开下载后的.ISO文件,如下图所示。以管理员身份运行install-tl-windows.bat文件。1.2.2 运行后的界面如下图所示。软件的安装路径默认为C盘,这里可以修改为其他磁盘。1.2.3 修改好软件的安装路径后,点击Advanced,

2021-02-07 14:37:01 73922 76

原创 GIS在学校选址中的应用

1、实验所用数据⑴土地利用图(landuse):面,区域内不同的土地类型。⑵高程数据(dem):面,地面的不同高程。⑶娱乐场所分布图(rec_sites):点,区域内的娱乐场所。⑷现有学校分布图(school):点,区域内现有的学校位置信息。2、学校选址要求⑴应充分考虑土地利用类型,选择成本不高且适宜生活的地方。⑵新建学校应处于地势平坦处。⑶新建学校应与现有娱乐设施配套,距离越近越好。⑷新建学校应与现有的学校保持一定距离,合理分布。3、实现流程利用ArcGIS的扩展模块中的空间分析功能

2021-02-04 15:44:16 5059 2

原创 Windows 10环境下TensorFlow(gpu版本)配置教程——[图解] [详细版][零基础]

目录欢迎使用Markdown编辑器PyCharm:功能快捷键合理的创建标题,有助于目录的生成如何改变文本的样式插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一个自定义列表如何创建一个注脚注释也是必不可少的KaTeX数学公式新的甘特图功能,丰富你的文章UML 图表FLowchart流程图导出与导入导出导入欢迎使用Markdown编辑器你好! 这是你第一次使用 Markdown编辑器 所展示的欢迎页。如果你想学习如何使用Markdow

2021-02-04 10:21:48 4824 3

原创 基于labview的2ASK调制以及解调

一、设计思路调制:振幅键控是正弦载波的幅度随数字基带信号而变化的数字调制。简单来说,就是将二进制的方波信号和载波信号相乘,形成调制信号。如下图所示:解调:采用相干解调法对 2ASK 信号进行解调,其相应原理方框图如下图所示:二、系统框图三、调制及解调结果四、2ASK调制及解调视频五、后记最后,如果需要已经建好的工程文件,可以联系QQ:3021132360...

2021-01-12 13:18:05 4519

原创 基于labview的AM调制以及解调

一、设计思路调制:将基带信号叠加一个直流偏量后与载波相乘,使载波的振幅按照所需传送信号的变化规律而变化,但频率保持不变。解调:方法有包络检波和同步检波两种。本次采用同步检波:同步检波必须采用一个与发射端载波同频率同相的信号,这个信号称为同步信号或相干载波。二、系统框图2.1 系统整体框图2.2 AM调制模块2.3 AM解调模块三、AM调制与解调结果四、AM调制与解调视频五、后记最后,如果需要已经建好的工程文件,可以联系QQ:3021132360...

2021-01-12 11:47:20 11880 2

原创 基于labview的2DPSK调制以及解调

一、设计思路调制:2DPSK 是用前后码元的相对载波相位值传送数字信息,因此先要对基带信号进行差分编码,设 An 为基带信号, Bn 为差分编码,则满足:接着对差分编码后的信号进行调制,具体步骤和 2PSK 调制一样(详见基于labview的2PSK调制以及解调一文)。解调:对于 DPSK 信号我们依然采用相干解调的方式,具体解调方式和2PSK相同,只是在进行抽样判决后再进行一个解差分编码,相关公式为:二、系统框图2.1 系统总体框图2.2 系统总体流程图#mermaid-svg-

2021-01-12 11:15:20 4016 2

原创 基于labview的2PSK调制以及解调

一、设计思路调制:2PSK 即二进制相移键控,取码元为“1”时,调制后载波与未调载波同相;取码元为“0”时,调制后载波与未调载波反相;“1”和“0”时调制后载波相位差 180°。解调:本次实验我们采用相干解调的方式,经过带通滤波的信号在相乘器中与本地载波相乘,然后用低通滤波器滤除高频分量,再进行抽样判决。二、系统框图2.1 系统总体框图2.2 系统总体流程图#mermaid-svg-kAY6tkmXWTNJT1bR .label{font-family:'trebuchet ms', ve

2021-01-12 10:43:43 6262 1

原创 基于labview的FM调制以及解调

一、设计思路

2021-01-10 16:55:10 9154 5

原创 关于Windows10服务中没有SNMP Service问题

关于Windows10服务中没有SNMP Service问题以及SNMP没有安全选项的问题欢迎使用Markdown编辑器你好! 这是你第一次使用 Markdown编辑器 所展示的欢迎页。如果你想学习如何使用Markdown编辑器, 可以仔细阅读这篇文章,了解一下Markdown的基本语法知识。新的改变我们对Markdown编辑器进行了一些功能拓展与语法支持,除了标准的Markdown编辑器功能,我们增加了如下几点新功能,帮助你用它写博客:全新的界面设计 ,将会带来全新的写作体验;在创作中心设置

2020-10-23 23:50:16 8750 2

原创 QPSK调制及MATLAB实现

一、QPSK原理四相相移调制是利用载波的四种不同相位差来表征输入的数字信息,是四 进制移相键控。QPSK是在M=4时的调相技术,它规定了四种载波相位,分别为45°,135°,225°,315°,调制器输入的数据是二进制数字序列,为了能和四进制的载波相位配合起来,则需要把二进制数据变换为四进制数据,这就是说需要把二进制数 字序列中每两个比特分成一组,共有四种组合,即00,01,10,11,其中每一组称 为双比特码元。每一个双比特码元是由两位二进制信息比特组成,它们分别代表四进制四个符号中的一个符号。QPS

2020-10-09 11:48:32 27170 2

原创 算术编译码的原理以及其MATLAB实现

一、算术编码的主要思想计算输入信源符号序列所对应的区间,然后在区间中任取一点,以其二进制表示适当截断作为序列的编码结果。二、算术编码的原理设输入信源序列为初始时,区间长度为[0,1)。其由F (1)分为[0,????(1))和[????(1),1)两个区间。两个区间的宽度分别为W (0)=P (0)和W (1)=P (1),分别对应信源符号0和1。假设第一个信源符号为0,序列落入区间[0,????(1)) 中。...

2020-09-06 21:55:30 3444 1

原创 几种不等长编码的编码方法及例题

一、Huffman编码方法及例题Huffman编码方法:①将信源S的n个符号{s1,s2,…sn}按概率从大到小排列;②将概率最小的两个符号分别分配给“0”和“1”码元,然后其概率相加,合成一个节点,作为一个新的符号,重新与其它符号按概率大小排列;③重复这样的步骤,一直到处理完全部状态Huffman编码例题:例1.例2....

2020-08-08 17:39:35 12531 2

原创 三层交换机配置静态路由

一、建立拓扑图

2020-07-19 15:13:53 27568

make-3.81.7z

make-3.81.7z

2023-08-07

基于proteus8.6的智能交通灯

在proteus8.6环境下建立的工程,低版本打不开。

2023-06-25

Verilog json原始版本

Verilog json原始版本

2023-02-08

verilog.json

更改后的verilog.json

2022-12-05

产生行帧有效信号的Verilog代码

产生行帧有效信号的Verilog代码

2022-03-19

将RGB数据转为图片的MATLAB代码

将RGB数据转为图片的MATLAB代码

2022-03-15

MIG IP核使用手册 ,详细介绍了MIG IP的读写时序。

MIG IP核使用手册 ,详细介绍了MIG IP的读写时序。

2022-02-23

texstudio-3.0.4-win-qt5.zip

TeXstudio的安装包

2021-08-30

chardet-4.0.0.tar.gz

chardet-4.0.0.tar.gz

2021-05-27

Cudnn_10.1_win10 x64 v7.6.5.zip

Download cuDNN v7.6.5 (November 5th, 2019), for CUDA 10.1

2021-02-02

Cudnn-9.0-win10-x64 v7.6.5.zip

Download cuDNN v7.6.5 (November 5th, 2019), for CUDA 9.0

2021-02-02

Multisim 14.0设计模电仿真工程文件

利用Multisim 14.0设计如下电路: 用一片通用 4 运放芯片 LM324 组成电路,实现下述功能。使用低频信号源产生的正弦波信号,加至加法器的输入端,加法器的另一输入端加入由自制三角波产生器输出的Uo1,要求:T1=0.5ms,允许 T1有 ± 5% 的误差。要求加法器的输出电压 Ui2经滤波器滤除Uo1 的频率分量,选出频率fo的信号为 Uo2,要求得到峰峰值不小于 9V 的正弦信号,用示波器观察无明显失真。该信号再经比较器后在1KΩ负载上得到峰峰值为2V的输出电压Uo2。 电源只能选用+12V 和+5V 两种。不得使用额外电源和其它型号运算放大器。

2020-06-01

gaopin_wrk.rar

利用ADS设计射频功率放大器: 用飞思卡尔公司的功率放大器芯片ATF27s006NT1设计射频功放,芯片频率范围可达450MHz-3.6GHz。 功放指标: (1) 工作频率:500MHz (2) 增益:G>20dB (3) 电源:+28V (4) 最大输出功率:2W(33dBm)

2020-05-23

Calculator.rar

利用Android studio设计一个计算器,可实现 +,-,*,/,% 的运算,且可以实现按钮按压效果。APP的显示界面与习惯的计算器界面保持一致,类似于苹果手机的计算器样式。 运用了APP开发初级控件的相关知识,包括屏幕显示基础、简单布局的用法、简单控件的用法。

2020-02-27

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除