自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(12)
  • 收藏
  • 关注

原创 UVM从入门到崩溃boom!之第七章:如何在build_phase中利用UVM configuration机制传递config类

小弟刚开始学UVM欢迎大家批评!部分图片来自网络,侵权请联系qq:1530547890删除谢谢!第七章:如何在build_phase中利用UVM configuration机制传递config类问题1:testcase层为什么需要object化最高层次的config类,而其他层只需handle该层的config类?问题2:为什么有声明config类handle的层get之后使用资源时,不需要...

2020-01-21 19:08:47 1119

原创 UVM从入门到崩溃boom!之第六章:UVM信息服务机制和四种信息安全等级

小弟刚开始学UVM欢迎大家批评!部分图片来自网络,侵权请联系qq:1530547890删除谢谢!第六章:UVM信息服务机制和四种信息安全等级1、四种信息安全等级UVM_FATAL、UVM_ERROR、UVM_WARNING、UVM_INFO分别对应打印宏‘uvm_fatal、’uvm_error、‘uvm_warning、’uvm_info及其默认行为2、为打印宏`uvm_info设置打印...

2020-01-21 19:03:54 865

原创 UVM从入门到崩溃boom!之第五章:事务级建模、field automation机制

小弟刚开始学UVM欢迎大家批评!部分图片来自网络,侵权请联系qq:1530547890删除谢谢!第五章:事务级建模、field automation机制1、要求:在扩展类中的约束必须是基类约束的子集2、为什么需要对transaction对象(中的变量)使用field automation机制?就是为了可以使用field automation中的内建函数对事务进行我们所需的操作!3、如...

2020-01-21 19:00:34 571

原创 UVM从入门到崩溃boom!之第四章:factory机制

小弟刚开始学UVM欢迎大家批评!部分图片来自网络,侵权请联系qq:1530547890删除谢谢!第四章:factory机制1、为什么需要factory机制,factory机制的两张查找表i、用处一:利用基于factory机制的override机制替换组件sequencer产生的transaction,使得sequencer可以发送不同的transaction,实现不同激励的产生!!ii、f...

2020-01-21 18:49:14 380

原创 UVM从入门到崩溃boom!之第三章:UVM库、UVM结构树、UVM phase、UVM objection

小弟刚开始学UVM欢迎大家批评!部分图片来自网络,侵权请联系qq:1530547890删除谢谢!1、UVM库2、UVM树在各个组件的构造函数与build_phase中的构建以master_agent中构造driver为例整个UVM结构树log文件中查看测试平台拓扑结构3、为什么需要UVM结构树?i、为config机制和override机制提供搜索路径ii、uvm ph...

2020-01-21 18:42:47 503

原创 UVM从入门到崩溃boom!之第一章&&第二章:UVM基本框架

小弟刚开始学UVM欢迎大家批评!部分图片来自网络,侵权请联系qq:1530547890删除谢谢!1、reference model从uvm_component扩展而来,其他的组件与其结构组件一一对应扩展;2、如何创建transactioni、class从uvm_transaction_item扩展ii、激励成员必须指定为rand属性iii、为激励成员注册field automation...

2020-01-21 18:21:05 1124

原创 Design Compiler入门经典实验Lab7

Lab7:一些额外的约束,在 lab2 与 lab3 的约束文件之中添加了一些额外的约束条件。1、set_input_delay -max 1.02 -clock my_clk -add_delay -clock_fall -network_latency_included -source_latency_included [get_ports sel]#\表示换行符,时钟信号到达 F4 ...

2020-01-19 20:53:05 767

原创 Design Compiler入门经典实验Lab5&6

Lab5:预备知识整理(也可以先跳过直接先看实验)1、dc_shell 模式下使用 compile 来综合优化设计;dc_shell -topo 模式下使用 compile_ultra 来综合优化设计;2、设计的综合分层为:Architectural(结构级)、Logicl(逻辑级)、Gate(门级)3、各层次优化策略:(1)、Architectural(结构级)包括:设计结构的选择、数据...

2020-01-19 20:47:18 1748

原创 Design Compiler入门经典实验Lab4

Lab4:此实验的原理图同实验 3,约束文件之中添加了环境因素即输入过渡时间和外部负载。对约束文件的分析如下:并且对应运行 report_port -verbose 查看端口的完整约束情况。1、set_driving_cell -lib_cell bufbd1 [remove_from_collection [all_inputs] [get_ports “clk Cin*”]]#指定输入...

2020-01-19 19:50:22 973 1

原创 Design Compiler入门经典实验Lab3

Lab3:实验要进行时序约束的电路框架如下:时序路径用红色箭头标出实验的总体步骤如实验 1 那样:设置库搜索路径,打开 dc_shell,确认库,读入设计,读入约束并且编译,生成时序、面积报告。一些新的指令学习:read_db sc_max.db #查看逻辑库文件 sc_max.db,可以知道目标库名字(链接库名字为 cb13…)redirect -file lib.rpt {repo...

2020-01-19 19:44:40 1963

原创 Design Compiler入门经典实验Lab1&2

Lab1:实验流程:1、打开 common_setup.tcl 文件,修改包含逻辑库、设计、脚本的路径:set ADDITIONAL_SEARCH_PAT,逻辑工艺库文件:set TARGET_LIBRARY_FILES,符号库文件:set SYMBOL_LIBRARY_FILES,用户定义的 Milkyway 设计库名称:set MW_DESIGN_LI,Milkyway所参考的库...

2020-01-19 19:40:13 2405

原创 关于quartus ii11.0编译错误:license与器件不匹配的问题

关于quartus ii11.0编译错误:license与器件不匹配的问题其实之中的错误就在破解器破解bin目录之下sys文件的时候,是显示不成功的,应该很多同学也和我一样遇到了相同的错误。我也是百度了很多答案,什么先装主程序再装器件库,什么覆盖sys文件(别覆盖,会崩溃)都没用。其实就是破解器与quartus版本不对应,现在官网11系列只有11.0sp1了,破解器一定要11.0sp1的,1...

2020-01-19 17:34:41 3873

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除