自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(4)
  • 收藏
  • 关注

原创 数码管动态扫描

数码管动态扫描(学习心得)要判断数码管是共阴还是共阳,要确定位选和段选,由于自己用的是核心板,所以还得焊一个数码管模块出来。动态显示中,利用人眼视觉暂留在20ms内,进行动态扫描,由于系统时钟频率为50MHz,数码管扫描太快,会导致三极管来不及通断,光线会变暗,所以采用时钟分频。段选,采用八选一多路器,选择的结果进行查找表(4输入8输出)。位选,一共有八位数码管,用一个8位循环位移寄存器。...

2019-07-07 13:41:56 1138

原创 UART串口发送模块设计(学习记录)

send_en:发送使能信号data_byte:待发送字符串baud_set:波特率设置rs232_tx:发送信号线tx_done:发送结束标志uart_state:模块状态 空闲为0,工作为1照图施工,按照一直模块,编写各模块代码。module uart_tybe_tx( Clk, Rst_n, data_byte, send_en, buad_set, Rs2...

2019-07-07 13:41:14 1043

原创 FPGA按键消抖与亚稳态

设计目标:令按键1按下,led灯以二进制形式加一,按键2按下时,led以二进制形式减一。由于之前写过了驱动程序,现在要将其运用到硬件上实现,这里会引入一个问题,key_in为异步输入信号,会引入亚稳态问题。若将key_in的值输入给D触发器,则D触发器的输出值就会发生振荡后稳定,该稳定状态就有可能是1,有可能是0,但在下一个时钟上升沿到来之前就会稳定下来,对于这种情况,就可以使用同步的两级D...

2019-05-18 22:19:15 622

原创 FPGA状态机进行独立按键消抖

状态机进行独立按键消抖状态机设计接下来,用状态机实现该过程(verilog):这次用了仿真模型,key_model,仿真模型,不可综合模块,所以得修改脚本。仿真主程序(test_bench文件):状态机设计令按键1按下时,led灯按二进制状态加一,按键2按下时,led以二进制形式减一。按键按下抬起过程中,有等待按下空闲状态(IDEL),按下抖动滤除状态(FILTER0),按下稳定状态(DOW...

2019-05-17 20:14:48 823

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除