自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(32)
  • 资源 (4)
  • 收藏
  • 关注

原创 树莓派摄像头无法识别 mmal

mmal: Cannot read camera info, keeping the defaults for OV5647mmal: mmal_vc_component_create: failed to create component ‘vc.ril.camera’ (1:ENOMEM)mmal: mmal_component_create_core: could not create component ‘vc.ril.camera’ (1)mmal: Failed to create ca.

2022-12-01 22:38:47 2771 2

原创 synthesizable之Verilog可不可综合

(1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,negedge,posedge,operators,output,parameter。(2)所有综合工具都不

2022-07-12 10:31:59 1248

原创 VGA常用分辨率及计算方法

如图 640480@60(59.94)每场对应的是525(525=2+33+480+10),480为有效数据位每行对应的是800(800=96+48+640+16),其中 640 点为有效显示区由此可知:需要点时钟频率为 800525*59.94=25.175MHz

2022-05-30 10:53:22 2199

原创 VDD,DVDD,AVDD,VCC,AFVDD,DOVDD,IOVDD

电路中的VDD,DVDD,AVDD,VCC,AFVDD,DOVDD,IOVDD的区别VCC表示给芯片电源供电;VDD表示给芯片中的器件单独供电,当然也可也从内部电源的VCC整合过来,就不用外部单独提供;所以有些芯片只有VCC,没有VDD,所以一般VDD<=VCCAVDD表示模拟电压,给芯片中的模拟器件供电,比如camera供电就要用到AVDD,也是相对于DVDD区别出来的,DVDD表示数字电压,给芯片的数字信号供电,比如0101,AFVDD表示auto focus VDD自动对焦电压,是针对一些马

2022-05-28 20:58:01 4028

原创 AWB白平衡算法

本文介绍了3A算法中的自动白平衡算法,参考诸多大神的文章,由于整理时间较晚,未完全记得参考的原文链接,如有版权权限问题,望联系进行更正,谢谢!图像信号处理算法(Image Signal Process,ISP),对图像传感器输出的原始图像进行处理,得到较好的场景还原效果。其中,3A算法包括:AWB(自动白平衡)、AE(自动曝光)、AF(自动对焦)。这里先分析自动白平衡算法。人眼在观察物体的时候,可以根据不同光源的性质调整被观察到的物体颜色。而相机在不同色温的光源下拍摄到的图像会产生偏色。相机的自动白平

2022-04-07 18:10:41 6751 3

原创 树莓派CSI摄像头一定要注意断电插拔

这几天感觉一直在忙忙忙,忙着做实验,自己明显感到有些焦虑,项目需要摄像头进行拍照实验,结果拿到摄像头后一顿操作出现了一下问题:调试了一下午没能拯救回来mmal:cannot read camer info应该是自己带电插拔了导致摄像头烧坏了唉,不要着急,稳扎稳打,做事前先想一想!!路还很长!加油...

2022-03-21 20:28:55 592 1

原创 使用TX2读取USB手柄/方向盘的输出控制

非原创项目需要 留存摘要:在淘宝上买到的游戏手柄/USB卖家只给了Windows下的驱动,本来以为Ubuntu下没有驱动,没想到网上早已经有人用cpp开发出了USB手柄的驱动,搜索很多博客的方法终于从手柄拿到数据。Linux真给力!!目录目录1.cat命令2.hexdump命令3.获取游戏手柄的端口输出 (1)获取端口 (2)读取游戏手柄端口数据4.代码实现 warning:deprecated conversion from string constant

2022-03-10 16:52:14 853

原创 Verilog 刷题 day2

1.Vector0Vectors are used to group related signals using one name to make it more convenient to manipulate. For example, wire [7:0] w; declares an 8-bit vector named w that is functionally equivalent to having 8 separate wires.解答:module top_module (

2021-12-21 23:02:37 701

原创 Verilog刷题day1

Build a circuit with no inputs and one output. That output should always drive 1 (or logic high).建立一个没有输入和一个输出的电路。该输出应始终驱动 1(或逻辑高)。题目:module top_module( output one );// Insert your code here assign one = [fixme];endmodule解答:module top_m

2021-12-20 10:53:32 2098 1

原创 Multispectral imaging for medical and industrial machine vision systems(用于医疗和工业机器视觉系统的多光谱成像)

Multispectral imaging for medical and industrial machine vision systems用于医疗和工业机器视觉系统的多光谱成像这是最近读的一篇文章,写下来有所收获现如今成像技术已经远远超越了传统颜色,标准RGB不足以完成检测任务。一些应用需要非传统的RGB波长,而另一些则需要可见和非可见波长的组合。另一些只需要非可见波长,如(UV)紫外、(NIR)近红外或(SWIR)短波红外,这些波长在可见光谱中没有波段。波长可以用滤光片或对特定波长(包括可见光

2021-10-27 11:28:54 1261

原创 工业镜头参数[转载合集]

光学成像图及光的波长及辐射图:首先,要确定工业相机的接口、靶面尺寸和分辨率大小。打比方是2/3" 工业相机,C接口,5百万像素;那么我们可以先确定需要的工业镜头是C接口,最少支持2/3", 5百万像素以上,或者线对在160LP.其次,确定所要达到的视野范围(FOV)和工作距离(WD),然后根据这两个要求和已知的靶面尺寸计算出工业镜头的焦距(f)。其计算公式为:  焦距f = WD × 靶面尺寸( H or V) / FOV( H or V)  视场FOV ( H or V) = WD × 靶面尺寸

2021-07-15 22:17:54 1415

原创 第三章 时序逻辑设计基础

第三章 时序逻辑设计基础3.1 存储元件3.1.1 锁存器3.1.2 透明锁存器3.2 触发器3.2.1 D触发器3.2.2 主从触发器3.2.3 J-K触发器3.2.4 T触发器3.3 总线与三态器件3.4 时序机设计3.5 状态转移图3.6 设计举例:BCD码到余3码的转换器3.7 数据传输的串行代码转换器3.7.1 设计举例:用Mealy型FSM实现串行线性码转换3.7.2 设计举例:用Moore型FSM实现串行线码转换3.8 状态化简与等价状态...

2021-07-11 21:57:45 981

原创 6.28立字为据,以后每天不可以浑浑噩噩的了!

最近一段时间感觉学习进入瓶颈期,看啥都不知道学啥!课程也马上结束了,收获也不是很多! 所以以后坚持写博客吧!算是对自己的学习成果的总结 项目也要加快进度!加油加油!

2021-06-28 14:07:38 88

原创 FPGA调板子问题小总结

1.硬件连线不对!线完全插反了!趁着现在记忆比较清晰,写出来,加深下印象。问题如下:下边这个图是FPGA板子的开发板的引脚端口JP5这个是OV5640对应的引脚端口在寻找摄像头的端口时还出现了一点小插曲:本以为这个就是对应的OV5640的引脚分布,但是!他是OV7670的,哈哈哈,在最后的引脚分配的时候发现它比5640要少两个腿,哈哈哈,以后还是要仔细啊问题就出现在两排引脚用杜邦线进行连接,是进行了一次反转后的连接,相当于镜像,然后头晕搞混了,导致在进行signaltap时没有采集到pclk

2021-04-01 22:35:40 600

原创 Quartus中signaltap中的waiting for clock

waiting for clock 或者acqusition in progress直接看问题二 问题困扰我了好久,是跟着师兄在做项目,从15f开发板到115f开发板,我想着这还不简单!修改下device ;修改下引脚即可问题一:版本不兼容,Quartus15.0和13.0很不巧我用的13.0,然而他是向下兼容,综合编译不成功报错搜索后得知将ip.qip文件中的“set_global_assignment -name IP_GENERATED_DEVICE_FAMILY “{Cyclone IV

2021-03-31 10:33:00 3779

原创 第二章 组合逻辑设计回顾

第二章 组合逻辑设计回顾对组合逻辑电路的人工设计方法进行回顾,在6章会讲到如何使用现代方法实现2.1 组合逻辑与布尔函数组合逻辑电路的输出可以表示为瞬时输入变量图2.1 4输入三输出的逻辑方框图逻辑电路中的变量为二进制变量–其值为0或1,逻辑电路硬件实现可以采用正逻辑,即用高电平(如5V)表示逻辑1,用低电平(如0V)表示逻辑0;反之也可使用负逻辑一些常见的逻辑门如下:图2.2 常见逻辑门电路的原理图与布尔关系式2.1.1 ASIC库单元2.1.2 布尔函数2.1.3 狄摩根定律2.2

2021-03-18 22:00:45 1394 4

原创 第一章 数字设计方法

第一章 数字设计方法

2021-03-02 21:35:54 295

原创 [新专栏]Verilog HDL高级数字设计

Advanced Digital Design with the Verilog HDL Second Edition本书简要回顾了组合时序逻辑设计的基本原则重点讨论了现代数字设计方法说明了行为级建模中ASM和ASMD图的作用明确指出了可综合和不可综合循环的区别通过实例对时序分析,故障分析,测试和可测试性进行切合实际的讨论每章节设计了一些涉及面广且有些难度的习题本书内容包括十一章:第1章 简要论述了硬件描述语言在基于库单元的ASIC和FPGA设计流程中的作用第2章和第3章则根据传统的教学方

2021-03-01 16:08:00 1058

原创 第七章 IR接收器应用

不小心拿着电视的遥控器对着电视盒子一顿猛按,结果没有反应,当你开始懊恼是才发现自己拿错了遥控器?为什么不同电器不用同一个遥控器控制!这正是因为IR接收器协议不同,NEC,SONY SIRC,PHILIPS RC5等,IR遥控器也是不可以混着用的!本章介绍重点:介绍IR的遥控原理和NEC协议基本实验:将IR接收器所接受到的客户码和按键码显示在DE2-115开发版的七段数码管上进阶实验:以IR遥控器来控制音乐合成器...

2021-02-19 13:48:31 1937

转载 FPGA学习全过程规划

本文转载,为了之后的进一步学习对照,原作者对FPGA各个学习阶段应具备的技能,以及对应技能的练习方法和推荐用书进行了详细说明 Very Good!原文更加精彩,地址如下:如何学习FPGA https://blog.csdn.net/k331922164/article/details/44626989原文如下:PS:笔者强烈建议诸位注册一个EETOP的账号,每天签到或者发贴、回贴就有积分了,里面的资源非常丰富,各种软件、资料都能找到。一、入门首先要掌握HDL(HDL=verilog+VHDL)

2021-01-29 23:39:06 993

原创 第六章 SDRAM控制器的设计

介绍的重点:·动态随机存储介绍·介绍SDARM的工作原理与Verilog的实现方法·基本实验:利用基本实例来解释SDRAM控制器顶层模块的设计·高级实验:利用高级实例来完整的描述SDRAM控制器顶层模块的修改技巧与注意事项...

2021-01-29 23:37:00 1040

原创 [攻略]csdn在哪里找到自己关注的人

对CSDN的设计服了!!!想看之前关注的人写的博客,怎么找都找不到博主!话不多说,直接呈上方法:法①:关注的人的地址链接:https://my.csdn.net/my/follow法②:如若之前有收藏及点赞的文章找到对应的博主!个人中心>>>>我的收藏法③:鼠标置于个人头像>>>>选择收藏进入页面后点击关注即可找到关注的博主比较推荐法③,直接点击链接进入关系博主的名称会有一些差别!!!!再次吐槽CSDN官方设计,体验极差@CSD

2021-01-19 20:41:14 1513 7

原创 第五章 TimeQuest Timing Analyzer

第五章 TimeQuest Timing Analyzer重点内容:·如何通过指令与GUI来设定TimeQuest Timing Analyzer基本的时序要求·“Setup Time”和“Hold Time”的时序说明·如何从“Report Timing”对“Critical Path”进行时序分析·进一步从“Chip Planner”查看FPGA内部资源的使用与布线情况5-1使用TimeQuest Timing Analyzer的流程使用TimeQuest Timing Analyzer流

2021-01-19 15:13:11 1053 2

原创 第四章 串行协议应用

第四章 串行协议应用重点内容①使用SPI主控端控制器进行数据传输的方法和注意事项;(关于什么是SPI,有的人可能已经不记得了,比如本人555,可以移步这个帖子SPI通信协议学习)②利用Quartus II快速的编辑SPI写入状态机,并使用ModelSim进行仿真;③SPI主控端控制器的程序设计进行ModelSim仿真的结果分析;④实验范例:将SPI实现于DE2-115,控制“LTM 4.3”触摸屏画面的翻转,并利用SignalTap II进行逻辑分析和观测;⑤介绍I2C主控数据传输的时序和操作

2021-01-04 22:04:45 501

原创 第三章 Alter DE2-115 开发板的应用

重点内容:①DE2-115开发板的布局及设计特性;②启动DE2-115开发板预载程序的详细步骤;③如何利用DE2-115控制面板控制开发板上外围设备;④简介DE2-115开发板上外围设备的特性;⑤DE2-115开发板应用实例的介绍与设置方式;⑥阐述应用实例的顶层模块(Top module)所使用的主要子模块功能。3-1DE2-115开发板的简介DE2-115所有器件之间连接通过Cyclone IV E的 FPGA,因此用户可以通过配置FPGA来实现任意系统设计3-2启动DE2-115开发板

2020-11-16 19:34:25 3364 6

原创 西瓜书学习之绪论部分

1.1引言不用介绍了!1.2基本术语①数据集(data set):记录的集合;②示例(instance)or 样本(sample):每条记录关于一个事件或对象的描述;③属性(attribute)or 特征(feature):事件或对象在某方面的表现或性质的事件;④属性值(attribute value):属性上的取值;⑤属性空间(attribute space) 样本空间(sample space) or 输入空间(input space):属性张成的空间;⑥特征向量(feature vect

2020-11-10 18:02:03 248

原创 第二章 硬件描述语言Verilog设计入门

数字逻辑电路可以分为:①组合逻辑(Combination Logic)②时序逻辑(Sequential Logic)组合逻辑电路系统框图 ↑↑↑↑↑↑↑↑↑↑时序逻辑电路系统框图 ↑↑↑↑↑↑↑↑↑↑2-1 时序逻辑的基础实验实验一 D触发器module ex2(D,Clk,Q);input D,Clk;output reg Q;always @(posedge Clk) // 在时钟Clk上升沿锁定输入信号D Q<=D; endmodule...

2020-11-10 11:51:05 903 2

原创 新坑 机器学习 之西瓜书

在校课程选了深度学习及机器学习,晚上上课!!老师讲的很好,可我听不进去!自己看书来吧,刚看完绪论部分,比较有意思,讲怎么挑西瓜!提上日程11月份把 第一章 绪论引言基本术语假设空间归纳偏好发展历程应用现状阅读材料习题 第二章 模型评估与选择经验误差与过拟合评估方法性能量度比较检验偏差与方差阅读材料习题 第三章 线性模型基本形式评估方法对数几率回归线性判别分析多分类学

2020-11-04 11:05:18 162

转载 DE2-115驱动问题

前两天在笔记本上顺利装的DE2-115驱动,组装了新电脑后,一插上发现又不能烧录了,只记得在笔记本上成功过,在各个盘中找下载驱动,未果在浏览器历史中搜索 “驱动”找到了之前看的文章原地址链接奉上:Win7、Win8、Win10系统USB-Blaster驱动程序无法安装的解决办法大概步骤就是①连接好后,在设备管理器找到USB-BLASTER,这时有个小小的感叹号②右键更新,选择本地,在quartus的安装目录下有个usb-blaster③选择目录安装成功享受烧录的快感吧!!!上了年纪了,一

2020-11-02 22:33:35 1337

原创 第一章 新功能简介

1-1、Cyclone IV 简介Altera 推出的 CycloneIV FPGA系列产品 灵活 价格低 与DSP功能取得平衡型号分为:Cyclone IV E 和Cyclone IV GX前者 成本低、高性能和低功率消耗后者除整合8个3.125-Gbps收发器支持Gigabit以太网、SDI、CPRI、V-by-One 还有PCI Express 提供硬式核心IP。1-2、Quartus II 10.0简介优势 巴拉巴拉软件破解 包括两个部分:1、Licence.dat中的网络号的替

2020-10-28 18:33:17 617

原创 DE2-115学习计划

在网上看了很多教程,大多为配合自家开发版讲授的课程,当然听他们的课参考原理图改一下对应的引脚也是一样的,强迫症(懒癌)患者总感觉不太舒服,于是在B站搜索DE2-115教程无望的情况下,我发现了这个!完美解决了学习的老大难问题,价格有些肉疼(明天就把发票送给老板^ _ ^)***课程目录包括:第一章 新功能简介第二章 硬件描述语言Verilog设计入门第三章 Altera DE2-115开发版的应用(10月拿下)第四章 串行协议应用第五章 TimeQuest Timing Analyzer(双1

2020-10-27 11:34:50 988 2

原创 初识DE2-115(包含光盘demo)

**初识DE2-115(包含光盘demo)**一、DE2-115的资源介绍1.核心的FPGA芯片:Cyclone IV 4CE115F29,从名称可以看出,它包含有115千个LE。Altera下载控制芯片- EPCS64以及USB-Blaster对Jtag和as模式的支持。2.存储用的芯片有: 2-Mbyte SRAM,64-Mbyte SDRAM,8-Mbyte Flash memory3.经典IO配置:拥有4个按钮,18个拨动开关,18个红色发光二极管,9个绿色发光二极管,8个七段数码管,16

2020-10-27 10:59:54 6487 3

The FPGA Prediction Compression Based on Snapshot.rar

基于快照拼接区域阵列高光谱图像的FPGA预测压缩 高光谱图像数据量庞大,难以实现实时无线传输,严重制约了高光谱图像数据传输处理的实时性。本文主制自主研制Zynq台型光仪对照赛面高谱感采到特高谱像据行平台微型高光谱仪,对快照马赛克面阵高光谱传感器采集到的特定高光谱图像数据进行无预压,用损预测压缩,并用FPGA现其缩为实现。其压缩比为1.7至2间增了光图数无传的力扩了之间,增加了高光谱图像数据无线传输的能力,扩展了型光仪应范。微型高光谱仪的应用范围。

2021-10-28

IEEE Standard VHDL Language .rar

VHDL的官方文档,有语法问题可以查阅

2021-02-01

IEEE Standard for Verilog.rar

IEEE Standard for Verilog Hardware Description Language 官方PDF文档,有Verilog语法问题可以查阅

2021-02-01

DE2-115光盘资料

包括DE2-115的产品说明书,及原理图,实验历程等,包括de2-115的引脚设置,还包括DE2-115的实验开发的开发软件,Quartus II软件,各种接口配置文件

2020-10-27

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除