自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(420)
  • 资源 (1)
  • 收藏
  • 关注

原创 STM32F4 外部中断的时钟SYSCFG

SYSCFG EXIT

2022-08-13 19:45:21 5020 1

原创 Error: Flash Download failed - “Cortex-M4“

Error: Flash Download failed - "Cortex-M4"

2022-08-07 10:53:55 553

转载 xilinx 以太网的总结

PHY寄存器以太网PHY寄存器分析

2021-10-13 15:54:19 430

转载 C 语言编译执行的过程

之前一直只知道运行C语言,GCC G++ 等 ,但是对C语言编译执行的过程并不了解。 第一步:预处理每一个C语言的开头都有头文件 : #include<stdio.h> ,或者 其他的。 需要将它进行预处理, 得到预处理用于将所有的#include头文件以及宏定义替换成其真正的内容,预处理之后得到的仍 然是文本文件,但文件体积会大很多。第二步: 编译这里的编译不是指程序从源文件到二进制程序的全部过程,而是指将经过预处理之后的程序转换成特定汇编代码...

2021-08-28 16:29:58 1162

原创 FPGA数码管的显示总结

1:由于视觉暂留效应,首先生成1k 的时钟。2: 数码管位选和 段选,确定信号是高电平有效,还是低电平有效。3: 知道0~f 数字用数码管怎么表示。4: 仿真的情况: 应该是生成1k 时钟的时候,再输入显示的数据。源代码:`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: //

2021-08-04 15:06:32 1206 3

原创 [HDL 9-806] Syntax error near “non-printable character with the hex value ‘0xef‘“. [“F:/test_code/HE

符号错误 “:” ,注意中英文符号的差异

2021-08-04 14:17:45 4716

原创 上升沿和下降沿的判断

FPGA 中的上升沿和下降沿的判断,原理都是buffer 进行缓存。要分清楚的是,信号是先通过buffer0 或者 reg1,最后是通过 buffer1 和 reg2 , reg_x ,一定是先通过数值小的,再通过数值大的。方法1: 采用拼接的方式 reg [1:0] r_Key; always@(posedge Clk) r_Key <= {r_Key[0],Key}; wire pedge_key; assign pedge_key = r_Key

2021-08-03 10:35:50 4631

原创 FPGA 串口

第一:FPGA 与串口 的硬件电路 串口的基本知识: 波特率: 比特率:晶体分为有缘晶振(oscillator,震荡器)和 无源晶振(crystal),参考文献:电子元件:无源晶振与有源晶振一文教你区分有源晶振与无源晶振 ...

2021-06-29 00:27:01 277 1

转载 verilog 中! 与 ~ 的区别

“!”表示逻辑取反,“~”表示按位取反当面对位宽为1时:两个操作符的作用相同。当位宽不为1时:“~”会将变量的各个位依次取反如:a[3:0] ={1,0,0,1} , ~a ={0,1,1,0};“!”会将变量作为一个值去做处理,非0为1:a[3:0] ={1,0,0,1} ,a=5,!a=0。a[3:0] ={0,0,0,0} ,a=0,!a=1————————————————版权声明:本文为CSDN博主「Try_again_1」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文

2021-06-08 23:19:28 11035 2

原创 如何确定位数

直接看hex 部分,hex部分 6位数 6*4=24 2 代表2进制2位,所以一共是[25:0]

2021-02-09 15:08:34 249

原创 网骆端口调试助手,调试端口打不开

1:学习FPGA 的过程当中,网络调试助手端口号6000 打不开,后来发现是6000 端口号被占用了C:\Users\25711>netstat -ano|findstr "6000" UDP 0.0.0.0:6000 *:* 5920C:\Users\25711>tasklist|findstrFINDSTR: 错误的命令行C:\Users\25711>tasklist|

2021-01-17 14:05:45 1011

原创 FPGA 十进制 转化为二进制

请原谅: 代码还没有写完,后面一定补上module operation( input clk , input rst_n, output reg [3:0] num_add, output reg [3:0] num_sub, output reg [6:0] num_mul, output reg [3:0] num_div, output reg [3:0] num_rem, output reg [9:0] num_bin ).

2020-12-14 23:09:18 3761

原创 晶振知识,及晶振振荡电路

晶振分为有源晶振和无源晶振,对于需要产生高质量的特定频率信号,通常选用晶振振荡电路。对于其他的电路,例如文氏选频振荡电路,晶振振荡电路, 晶振的频率是多少,就是产生多少频率的正弦波,由于电容有补偿作用,因此只可能产生正弦波, 目前我接触的运用主要有两种:一:C51 单片机最小系统的 晶体振荡电路,用于给单片机提供时钟信号。如图所示:左边是原理图,右边是等效电路图,U1是 一个反向器,电容C1 和C2 起到一个补偿作用,生成的是一哥正弦波。 通常无源晶振是不分输入端和输出端的,无源晶振也没有正负...

2020-11-17 19:21:08 5251

原创 MOS 管开关极限频率的计算

当电路的运行速度比较低的时候,MOS 管均能起到开关电路截至的作用,但是当频率 上升到5Mhz ,或者10Mhz ,50Mhz , 100Mhz的时候,就需要考虑到MOS 管的开关频率呢。例如: 是一个MOS 管的开关频率需要关注的6个参数,他们只是表明了一个周期的一部分,通常只是占到了MOS 管开关一个周期的1/7~1/10。以数值最大的turn-Off delaytime 18.5ns, 那么周期的可能数值应该是 ,129.5~185ns. ...

2020-11-11 14:57:51 17416 1

原创 工业互联网

什么是工业互联网?首先得说说什么是工业革命,第一次工业革命是蒸汽机代表的工业革命,主要体现在机械结构上面,对于机械、祭采矿、交通、农业和纺织;第二次工业革命主要是内燃机的发明,内燃机对于煤炭的利用效率远远大于蒸汽机,而且“电”的发明,让人类进入电气时代,福特汽车的大规模生产,大幅度的提高了工业效率。第三次工业革命主要是原子核革命,核能打开了人类新的世界的大门。第四次工业革命是指,相比较于原来的分立元器件,现在的集成元器件,包含几百万个晶体管,因此芯片提供了更好的算力和算法,这个就是第四次工业革命的动

2020-11-10 20:51:23 619 7

原创 设置静态arp 的点

进行网口调试的过程中,需要设置一个虚拟的arp地址。需要说明的是:在可以上网的笔记本设置静态arp 的过程,建议采用管理员的模式进行设置。如果是禁止上网的笔记本,则都行。1:netsh i i show in”查看要进行ARP绑定的网卡的idx编号, 注意记录本地连接的网口Idx ,18 和102:ipconfig 查看 ipv4 的地址 我的IP地址是 192.168.43.122, 需要做的事修改122 随便设置一个数值,毕竟是虚拟IP地址嘛3:netsh inte...

2020-11-03 18:40:20 829

原创 FPGA触摸按键控制led灯

第一:项目需求使用触摸按键控制LED灯亮灭,开发板上电后LED为点亮状态,手指触摸后LED熄灭,再次 触摸,LED点亮。 第二:源代码module touch_led( input clk, input rst_n, input touch, output reg led );reg touch_en;reg touch_d0;reg touch_d1;assign up=(~touch_d0)&(touch_d1);// 确定上升沿的程序alw

2020-10-21 20:56:34 736 1

原创 EP4CE10F17C8 的按键控制蜂鸣器仿真

第一: 项目需求 使用按键控制蜂鸣器发声。初始状态为蜂鸣器鸣叫,按下开关后蜂鸣器停 止鸣叫,再次按下开关,蜂鸣器重新鸣叫。第二:运行的源代码 第三:测试用的仿真源代码...

2020-10-21 20:50:04 1367

原创 FPGA 按键控制流水灯的状态及其仿真

第一:项目需求, 四种按键对应四种不同的LED灯状态。,不同状态变化时间间隔是0.2s。第二:运行的代码//右下角坐标,如何改变时间尺度module key_led( input sys_clk , //50Mhz系统时钟 input sys_rst_n, //系统复位,低有效 input [3:0] key, //按键输入信号 output r...

2020-10-21 20:19:24 2687 1

原创 FPGA 流水灯设计及其仿真

本文主要是给出 0.2s 固定间隔时间的流水灯和测试文件FPGA 运行文件module flow_led( input clk, input rst_n, output reg[3:0] led);reg [23:0] counter;always@(posedge clk or negedge rst_n)begin if(!rst_n) counter<=23'd0; else if(counter < 23'd10) //为了仿真需

2020-10-19 16:52:37 1479

原创 FPGA 动态数码管显示

分为3个文件,分别是顶层文件,计数文件,和显示部分1:计数文件: 100ms 数据更新,同时产生数码管使能信号en2: 显示部分 data 数据,需要分为个位 十位 百位 千位 万位 十万位 分频器 产生5Mhz 的信号 确定要显示的位数,从data5...........data1,一共需要占用几个数码管 数码管轮流显示的1ms ,而且产生标志位flag 数码管位置的轮流显显示,间隔...

2020-09-23 16:58:46 614

原创 FPGA 静态显示数码管

显示0~9module seg_sel_led( input sys_clk, input sys_rst_n, output reg [5:0] sel, output reg [7:0] seg_led);parameter MAX_NUM=25'd2500_0000;reg [24:0] cnt;reg [3:0]num;reg flag;//0.5 s 的延时always@(posedge sys_clk or negedge sys_rst_n)begin .

2020-09-22 18:51:09 602

原创 FPGA 串口调试 心得

基于正点原子开拓者FPGA , 进行串口的调试。发现与单片机相比较,单片机的库函数开发, 一条语句解决的事情, FPGA 可能要写一二百行。废话少说,记录一些容易被忽视的点。串口的代码分为三部分,分别是串口的发送,串口的接收,以及顶层的文件。1:串口的发送部分串口的发送部分易错点:1:串口的发送部分的信号使能端使用的电平是高电平。 en_flag ,uart_send_d0, uart_send_d1; 2:串口...

2020-09-22 16:26:23 1190

原创 matlab 如何求取信号的采样率

clc,clear all;close all;fs=200;N=2000;t=(1:N-1)/fs;s=1.2*sin(2*pi*t*20);%%% 滤掉60Hz的信号return 由计算公式t 得知,相邻时间点相减的倒数就是采样率此处的采样率就是200

2020-08-17 22:50:16 2699 1

原创 matlab解决excel 导入数据精度的问题

> format long>> format short>> a=15.3a = 15.3000>> format short>> aa = 15.3000>> format long>> aa = 15.300000000000001参考:https://zhidao.baidu.com/question/399112513.html

2020-08-17 20:27:49 3778

原创 数字电路基础笔记

1: 数字电路第一章: 与或 非 同或 异或 第二章:时序图 逻辑函数 逆向

2020-08-06 23:29:43 622

原创 《围城》 读后感

写这篇博客的缘由是昨天开了个读书会,介绍自己的阅读后的作品。 分为三部分:第一部分介绍推荐的理由,第二部分介绍主要内容,第三部分是说明自己的感受。 推荐的理由: 围城:城外的人想进来,城里的人想出去。 回忆自己长久的追寻一些的事物,但是得到后却不是自己想要的结果。那种内心的惆怅如何解决? 主要内容: 方鸿渐,出生于一个地主乡绅的家庭,依靠别人的资助去了国外读大学,因为整天都是玩,所以最后花钱买了文凭。回到国内和几个女人之间的故事,最后去某大学任教被学生嫌弃,当然最后主人公...

2020-07-15 12:40:24 519

原创 多抽样率数字信号处理( 摘抄)

1:量化误差的来源 1:滤波器的数值只能取离散值 2:A/D 或者D/A 之间的转化误差 3:乘积量化过程的误差2:

2020-06-11 15:48:58 1443

原创 读书笔记,研究生总结

研究方向信号处理或者图像处理,大概率是做EEG(脑电信号)。计算机的本科课程基本未包含信号处理的相关课程。必备的工具:matlab(数据的预处理,例如滤波、某些特定的特征提取)python(主要用于做机器学习或者深度学习)Github ( 寻找各找开源代码 )深度学习pytorch入门:https://github.com/yunjey/pytorch-tutorial(我入门学的这个)必备基础知识:《信号与系统,奥本海默》,《数字信号处理,奥本海默》和《现代信号处理,张贤达》;不需要学

2020-06-09 12:04:08 552

原创 C 语言十进制和十六进制的转换

设计字符串的拂去,字符串的遍历,还有ASCii 码。十进制和十六进制转换的相关知识。1: 十六进制转化为10 进制#include<stdio.h>#include<string.h>#include<math.h>/* 返回ch字符在sign数组中的序号 */int getIndexOfSigns(char ch);int main(){ printf("Input a string: "); char str2[30] = {0

2020-06-06 23:14:31 2398

原创 剑指offer 刷题 1 (03:数组中重复的数字)

1:指针基本知识*a=&b : 表示指针a 代表b 的地址,*a 则表示b的数值;*&a=25表示将a的值赋值为25

2020-06-04 09:33:28 2095

转载 陈平 教授的演讲(经济学家)

1:市场规模决定了分工的关系,国富论没有数学公式2: 话语权不是比嗓门大,是比谁有idear3:老子是精密学科的代表,:道生一,一生二,二生三,三生万物。4:教师不应该局限在 传到 授业 解惑 ,而应该具有开拓性的精神,与学生论道,学生给教师挑刺。5:经济是代谢的,生命是有周期的, 小波是有周期的,市场 很多 东西都是波动的。6: 经济学家是有数学公式的---周志光,复杂非线性的模型7:特朗普的理论很荒唐,8:复杂的系统,就稳定性比较差,是相互矛盾的。9:规模化的交换。从..

2020-06-02 12:05:38 2079

原创 ECG_ID 数据集如何读取?

这个是网站:https://physionet.org/content/ecgiddb/1.0.0/Person_03/#files-panel这个是官方参考的波形这个是下载下来的文件:但是我就是读不出来这种效果呀...

2020-03-27 09:05:13 1776 4

原创 matlab 或者python 数组名连续命名

clear all;%for循环连续命名矩阵clear,clc%矩阵按照:M1、M2、M3、M4...连续命名syms MN = 5;%矩阵个数for i = 1:N eval(['M' num2str(i) ' =[]']) endreturn for i in range(4): name='v'+str(i) locals(...

2020-03-26 12:37:51 1576 3

原创 matlab 判断信号正交

>> dot(y,yi)ans = 2.4980e-15>> sum(y.*yi)ans = 2.4980e-15>> dot([1,0],[0,1])ans = 0

2020-03-24 21:47:55 1887

原创 matlab 求一维信号的上下包络线

clear all; clc; close all;fs=400; % 采样频率N=400; % 数据长度n=0:1:N-1;dt=1/fs;t=n*dt; % 时间序列A=0.5; ...

2020-03-24 21:26:50 5899 2

原创 win10 pytorch用GPU 运行代码

1: 首先安装显卡对应的CUDA ,2:打开pytorch 官网 3:验证:import torchDEVICE = ("cuda" if torch.cuda.is_available() else "cpu")print(torch.cuda.is_available())输出为true 则证明 已经可以启动显卡运行程序...

2020-03-18 23:14:55 1901 1

原创 AttributeError: module 're' has no attribute 'compile

文件中有命名为re 的,删掉即可

2020-03-18 19:29:59 3368 2

原创 can't convert CUDA tensor to numpy. Use Tensor.cpu() to copy the tensor to host memory first

原因分析:数据在cuda() ,需要将float型的tensor转化为numpy ,只能在cpu上进行更改前的样子:labels_=labels_.numpy().tolist()更改后的样子哦labels_=labels_.cpu().numpy().tolist()...

2020-03-17 17:33:55 1804

原创 ImportError: No module named 'sklearn.metrsics'

在服务器上跑代码,发现ImportError: No module named 'sklearn.metrsics'pip list 后发现,已经安装了sklearn, 卸载后重装也不行。最后更改了一下,调用的顺序,更改之前 的顺序,import torch from sklearn.metrics import confusion_matrix更改之后的...

2020-03-17 17:17:19 1030

mini_system.DSN

51 单片机protuse 最小系统, 51 最小系统是STC89C52 ,弄得不好,请各位批评指正。

2020-05-07

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除