自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(33)
  • 资源 (2)
  • 收藏
  • 关注

原创 树莓派4B亮灯程序踩坑集合

设备:树莓派4B,Windows 10开发环境:树莓派系统32位(推荐版),wiringpi C语言版本 2.521.wiringpi下载安装使用sudo apt-get install wiringpi命令下载显示无该包,于是网上找到了Gordon的博文,上面有下载的指令:cd /tmpwget https://project-downloads.drogon.net/wiringpi-latest.debsudo dpkg -i wiringpi-latest.deb下载安

2022-03-27 00:49:00 442

原创 树莓派安装wiringpi显示不存在解决方法

环境:树莓派4B使用sudo apt-get install wiringpi 指令安装wiringpi包时,出现下面的提示:Reading package lists... DoneBuilding dependency tree... DoneReading state information... DonePackage wiringpi is not available, but is referred to by another package.This may mean that

2022-03-26 21:53:25 4334 6

原创 OSI 7层模型中的最上面三层该如何记忆?

OSI 7层模型为:物理层,数据链路层,传输层,网络层,会话层,表示层和应用层。但是不知道为啥会话层,表示层和应用层这三层的顺序老是记不住!有没有跟我一样的朋友呢?今天看了OSI 7层对应的英文,突然反应过来怎么快速记忆了!且看OSI 7层的英文是什么-->Physical, Data-Link, Network, Transport, Session, Presentation, Application最后的三层不就是SPA了嘛!看来设计了这样的规则,越到高层就越爽,像做了SPA

2022-03-13 17:48:20 473

原创 为什么是国际标准化组织是ISO而不是IOS

国际标准化组织的英文全称是:International Organization for Standardization,照理按照首字母缩写的话,应该是IOS才对,为什么却变成了ISO了呢?要跟IOS系统区分咩?原来,ISO组织的的简称并不是通过英文首字母缩写变来的,而是由希腊单词isos衍生而来,意思是equal平等的。国际标准化组织如果按照首字母缩写来命名,基于不同国家不同语言的翻译,首字母缩写可能会大有不同。为了统一性,同时也表达国际标准化组织要谨记全球各地平等的理念,不以某个大强国的利益来制作国

2022-03-13 17:39:24 1381 1

原创 互联网和万维网是同一个东西吗

Internet 互联网(又叫因特网)是全球最大的互连网络,它提供了计算机间互相通信的能力。TCP/IP协议簇构成了互联网的基础。而www全称是World Wide Web万维网,是利用互联网进行通信的一个应用。在早期1990年,它是最重要的互联网应用,并使互联网技术受到全世界的关注。...

2020-12-21 14:47:46 660

原创 quartus-ModeliSm仿真教程

在完成VHDL模块的编写后,检验自己写的时序是否有误的最好方法就是进行仿真了。 然而quartus是我用过的最奇怪的软件了,因为它的每个版本包含的功能都不相同。就拿仿真来说,8.0的版本quartus自带有仿真功能,12.0的则没有;13.1版本就又自带仿真了,然后再用到17.1好像又找不到自带的仿真了:( 为了方便起见,应该学会利用quartus与modelism的联调,步骤如下:1、首先在菜单中的Assignments中选择Settings,按照下图的步骤将仿真设...

2020-05-14 15:28:38 6152 1

原创 VHDL--VGA时序控制

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity vga_ctr isport( clk : in std_logic; --系统时钟输入 hsync : out std_logic;--输出行同步、列同步以及R.G.B信号 vsync : out std_logic; rvb_in: in Bit_Vector(11 downto 0); rvb...

2020-05-10 19:48:58 698

原创 C++定义一个复数类Complex,使得下面的代码能够工作。(注:下列代码需放在主函数中。)

今天在做学校的C++作业时,遇到了一道题还挺经典的。定义一个复数类Complex,使得下面的代码能够工作。(注:下列代码需放在主函数中。)Complex c1(3,5); //用复数3+5i初始化c1Complex c2=4.5; //用实数4.5初始化c2c1.add(c2); //将c1与c2相加,结果保存在c1中c1.show(); //将c1输出(这时...

2020-03-08 16:03:42 14740 5

原创 蓝桥杯python 基础练习 回文取数

感觉题目描述不是很具体,看样例输入可知先左边切割数字,在下面,右边,上面,如此循环,像逆时针画圈般。在做这个题目时,主要解决几个问题:1. python 输入多行数据,空格隔开 2.判断二维数组是否为空,这个有点tricky,大家可以写小代码测试下。这个问题涉及到要怎么更好的删除数据。注意考虑数组只有一列的时候的删除情况。 3. 题目要求输出一串数字,别直接输出列表了:)下面是写的...

2020-01-29 18:13:52 364

原创 北京理工大学嵩天老师python爬虫课程之中国大学排名定向爬虫遇到的问题TypeError: __init__() takes 1 positional argument but 2

在慕课上学习北京理工大学嵩天老师的python爬虫课程之中国大学排名定向爬虫时,发现自己一直出现TypeError: __init__() takes 1 positional argument but 2 were given 这个问题,查找了下别人出现的问题,都不是这个,就很迷茫了。复制了嵩天老师的代码,网上copy了别的博主的代码运行也出现了这个问题,也太烧脑了。于是,回想了下自己的操作...

2020-01-13 15:41:06 532 6

原创 Mac下载homebrew失败解决方案

下载了一晚上的homebrew都没下载成功,查了很多资料都说的云里雾里的,操作还很麻烦。甚至有些博主说是因为mac版本太新的原因(正好我也是刚买的),总之就是一头雾水直到......我点开了官网的一个指示:才发现我并没有安装Xcode!!!貌似安装homebrew的时候会默认自动装,但是安装失败嘛。于是乎,我就在应用商店下载了Xcode,很顺利的就下载好了homebrew!!!...

2020-01-07 21:43:41 2672 4

原创 python学生信息管理系统(文件操作方式)

对python文件操作最好的练手方式莫过于写个学生信息管理系统了。该学生信息管理系统实现了对学生信息的存储,修改,删除,查看,搜索,清空功能。话不多说,开始开车XD...首先,定义学生类:class StudentInfo: def __init__(self, name, stuid, gender, age, major, tel): self.name = ...

2020-01-05 15:36:15 3735 6

原创 python文件操作中的增加、读取、删除、修改、查找

在上一篇博文里有介绍简单的文件操作,而这一篇是在上一篇的基础上延伸的。百变不离其中,让我们继续往下看。代码背景:我最近在写一个乞丐版的学生信息管理系统,主要实现录入,查看,修改,删除,搜索,清空学生信息等功能。所以代码中会用class类初始化学生信息各参数,字符串拼接成一整串信息,并存储在文件student.txt中出席嘉宾:[file object].write(),,,,[file o...

2020-01-05 13:14:04 5424

原创 python代码风格

1. 使用空白行去分隔class类和function函数。2. 如果用注释,最好另写一行。3. 在操作符和逗号处使用空格,括号可以不用4. class的命名用CamelCase,即单词首字母大写5. function函数和method方法的命名用lower_case_with_underscores的方法,即单词小写,单词之间用下划线。持续更新ing......

2020-01-04 15:22:14 126

原创 python文件读写操作

python的文件读写与C语言类似。打开文件是用open(filename,mode),返回一个文件对象。如:f=open(‘file.txt’,'w')。filename就是文件名字,若不在同一文件里,要加上文件地址。mode有很多种形式:‘w’写入(若有文件有相同的名字,则会被替代),‘r’读入,‘r+’打开的文件可读可写,‘a’即appending,写入的数据连在先前数据的后面。‘w’就不一...

2020-01-03 19:50:46 316

原创 python lists列表 index和slice的用法

python中的list是比较常用的数据类型。它可以存放多种不同类型的数据,如字符串数字等,但是一个列表里一般放同种类型的数据。首先我们定义一个列表 list1 = [1, 3, 5, 7, 9]index show:list1[i]、list1[-i] 的用法如果我们输入 list1[-6]会出现什么现象呢?倒数第六个数到底存在不存在呢?报错了!超过索引范围了!在开...

2019-11-20 22:14:20 834

原创 python DFS深度优先搜索及N皇后问题求解

DFS可谓是算法里的一个大头,不懂DFS都不敢承认自己学过数据结构。今天菜鸡我要逆袭了,先从经典的N皇后问题搞起,试试DFS水深几尺【略加思索】内容篇幅有点长,不想看我对DFS嗷嗷叫的朋友还是直接去见皇后吧~1. 对DFS的理解2.N皇后问题1. 对DFS的理解解决这个问题前,先来回顾下DFS究竟是何方神圣 `<" - ">`DFS——depth f...

2019-11-20 13:39:23 1700

原创 蓝桥杯python 基础练习 报时助手

用python做这道题简直不要太简单~字典也太好用了吧~有个小坑需要注意哦,题目没有直接说明,如果直接按照题目要求完成了就提交的话,可要摔一跤咯要考虑时h大于20的情况 比如h=21,21,23的时钟表达time = {0: 'zero ', 1: 'one ', 2: 'two ', 3: 'three ', 4: 'four ', 5: 'five ', 6: 'six ',...

2019-11-18 20:23:50 377

原创 蓝桥杯python 基础练习 Huffuman树

每天都要坚持写一下python啊~准备比赛只是一种督促自己好好学习的方式,技术才是硬核呀~~~经过上一题数列排序的血痕教训,我可长记性啦!限制条件别忘!嗯!没忘!然后......成功通过啦!# Huffuman树x = int(input())if x >= 0 & x <= 100: list1 = list(map(int, input().spl...

2019-11-18 14:08:38 904 1

原创 蓝桥杯python 基础练习 数列排序

问题描述  给定一个长度为n的数列,将这个数列按从小到大的顺序排列。1<=n<=200输入格式  第一行为一个整数n。  第二行包含n个整数,为待排序的数,每个整数的绝对值小于10000。输出格式  输出一行,按从小到大的顺序输出排序后的数列。样例输入58 3 6 4 9样例输出3 4 6 8 9这道看似简单的题纠结了我好久,,,一边欣赏自己...

2019-11-18 14:02:51 2038 2

原创 蓝桥杯python 入门训练 序列求和

问题描述求1+2+3+...+n的值。输入格式输入包括一个整数n。输出格式输出一行,包括一个整数,表示1+2+3+...+n的值。样例输入4样例输出10样例输入100说明:有一些试题会给出多组样例输入输出以帮助你更好的做题。一般在提交之前所有这些样例都需要测试通过才行,但这不代表这几组样例数据都正确了你的程序就是完全正确的,潜在的错误可能仍然导致...

2019-11-17 17:45:41 1255 7

原创 蓝桥杯python 入门训练 圆的面积

问题描述给定圆的半径r,求圆的面积。输入格式输入包含一个整数r,表示圆的半径。输出格式输出一行,包含一个实数,四舍五入保留小数点后7位,表示圆的面积。说明:在本题中,输入是一个整数,但是输出是一个实数。对于实数输出的问题,请一定看清楚实数输出的要求,比如本题中要求保留小数点后7位,则你的程序必须严格的输出7位小数,输出过多或者过少的小数位数都是不行的,都会被认为错误。...

2019-11-17 17:22:11 357

原创 蓝桥杯python 入门训练 Fibonacci数列

问题描述Fibonacci数列的递推公式为:Fn=Fn-1+Fn-2,其中F1=F2=1。当n比较大时,Fn也非常大,现在我们想知道,Fn除以10007的余数是多少。输入格式输入包含一个整数n。输出格式输出一行,包含一个整数,表示Fn除以10007的余数。说明:在本题中,答案是要求Fn除以10007的余数,因此我们只要能算出这个余数即可,而不需要先计算出Fn的准确值,再...

2019-11-17 15:00:54 644 2

原创 Python中end=' '的用法

python在print()输出语句中出现 end=‘ ’的语句,是什么意思呢?简单来说,如果没有end=‘ ’,每次print语句都会自动换行,而有了这个语句,数据就不会自动换行,而是在输出的数据后面加上空格(空格数取决于引号里面的空格数)。通过一个例子来说明:这是有end=‘ ’语句(引号中有一个空格)的fibonacci代码:没有end的:...

2019-11-02 22:23:39 32126 2

原创 Python中pass的用法

PASS顾名思义,就是跳过它,不进行任何的操作。When a statement is required syntactically but the program requires no action.用法如下第一种:可用在循环中。注意这是个死循环。1)2)第二种 用在Class类中。Creating minimal classes.第三种 用在Functi...

2019-11-02 21:36:47 1666

原创 软件模拟IIC控制0.96寸OLED

继上一篇文章——我的心塞的OLED之旅,这篇可得有些实际的东西(看到文章标题就知道我已经放弃1.3寸大屏了,有时间再来调试吧)找朋友要了0.96寸OLED显示代码,发现是用硬件IIC控制的,熟悉原子哥代码的朋友都知道原子哥推荐用软件模拟IIC来控制IIC器件。所以自己结合原子哥的IIC教程写了个软IIC控制oled显示的程序。研究原子哥IIC控制的代码中发现,原子哥的IIC停止信号代码有些...

2019-07-21 15:09:24 1517

原创 【熟悉oled的朋友请进】1.3寸IIC控制的oled显示问题

最近在网上买1.3寸IIC控制的4脚oled显示模块,买的时候没认真看,以为驱动oled都一样,没啥区别,肯定买屏幕大一点的好啊,就为了比0.96寸多了那么一点点视觉感,果断入手了1.3“大屏”。买回来就很尴尬了,某宝提供的代码都是spi的,正点的代码是8080和spi的,于是乎,尝试将spi改为iic无果,无奈之下,向朋友要了IIC控制oled的代码,朋友的是0.96寸oled。根据下面的描...

2019-07-19 21:24:34 5752 4

原创 C语言递归应用:买啤酒兑换啤酒

题目:两元一瓶啤酒,两个啤酒瓶可换一瓶啤酒,四个啤酒瓶盖可换一瓶啤酒,求10元可以买几瓶啤酒。(不允许借瓶子瓶盖)#include &lt;stdio.h&gt;#include &lt;stdlib.h&gt;/* 题目:两元一瓶啤酒,两个啤酒瓶可换一瓶啤酒,四个啤酒瓶盖可换一瓶啤酒,求10元可以买几瓶啤酒。不允许借瓶子、瓶盖run this program using the ...

2019-03-12 21:19:41 2053

原创 蓝桥杯基础练习高精度加法 输入两个整数a和b,输出这两个整数的和。a和b都不超过100位。

问题描述  输入两个整数a和b,输出这两个整数的和。a和b都不超过100位。算法描述  由于a和b都比较大,所以不能直接使用语言中的标准数据类型来存储。对于这种问题,一般使用数组来处理。  定义一个数组A,A[0]用于存储a的个位,A[1]用于存储a的十位,依此类推。同样可以用一个数组B来存储b。  计算c = a + b的时候,首先将A[0]与B[0]相加,如果有进位产生,则把进...

2019-02-05 13:38:27 4308

原创 C语言文件的读入与写入

学了一学期的C语言,还不怎么会使用指针,文件的读入和写入也不能很顺利的写出来,说起来好惭愧。碰巧今天朋友让我帮他编写一个C语言程序,对他数模要用到的大量数据求平均值(每天不同时刻对某一物理量进行检测,持续几十天,求那些时刻测得的物理量的平均值)。代码很简单,关键是要掌握怎样对文件进行读入和写入(当然对于菜鸡的我来说,懒惰让我在大一没有好好学习,正好趁着这个契机把文件的基本的操作学会:))分模块...

2018-08-04 18:05:32 16445 4

原创 【学习笔记】C51 keil v4 流水灯简单代码的编写

打算利用暑假时间好好学习硬件知识,网上买了个板子,开始学习硬件描述语言。不知道有没有比较好的书籍推荐呢#include&lt;reg52.h&gt;#include"intrins.h"typedef unsigned char u8;/*char 为1字节,8位 */typedef unsigned int u16;/*一般情况下用int,因为unsigned char取值范围为...

2018-08-02 11:09:41 18714 4

原创 关于十六进制转八进制遇到的问题和瓶颈

 本人能力有限,暂且只想到这样的方法。然而系统显示运行超时了,没达到要求。望大家多多指正,看能怎样优化程序。问题描述  给定n个十六进制正整数,输出它们对应的八进制数。输入格式  输入的第一行为一个正整数n (1&lt;=n&lt;=10)。  接下来n行,每行一个由0~9、大写字母A~F组成的字符串,表示要转换的十六进制正整数,每个十六进制数长度不超过100000。输出格式  输出n...

2018-07-29 13:10:06 282 1

原创 【求助帖】写二维数组时遇到的问题~

#include&lt;stdio.h&gt;#include&lt;stdlib.h&gt;#include&lt;string.h&gt;#define N 10#define M 100001#define L 400004int main(){ const char *st[16]={"0000","0001","0010","0011","

2018-07-28 21:49:16 173 1

Earth_Moon.rar

利用VHDL实现VGA控制器,显示地球和月球图形。再利用quartus12.0中的SOC NIOSII系统产生随机数,使得地球随机运动而月球跟随地球转动。

2020-04-05

interface.py

python学生信息管理系统,文件操作版本。实现添加,删除,修改,搜索,查看,清除学生信息等功能。具有登陆操作,菜单显示等操作,功能完善,可供参考学习。

2020-01-05

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除