自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(52)
  • 资源 (1)
  • 收藏
  • 关注

原创 quarters和modelsim实现4位计数器

行为仿真目的:clk模拟产生T=1000ps(f=1GHZ)的周期信号,设计四位计数器进行计数,在clr为低电平时从0000开始计数,若达到1111(即15)则自动置零重新开始计数//四位计数器module prj0(clk,clr,out); //定义输入输出端口,clk时钟信号 input clk,clr; output[3:0] out; //输出变量out定义为寄存器类型 reg[3:0] out; always @(posedg

2021-01-06 10:00:14 1434

原创 verilog零碎

一.verilog源码写完执行analysis&elaboration,出现错误:12007原因:module名称与工程名称不一致二. 点击Processing->Start->Start Test Bench Template Writer , 错误信息Error (199001): Run Analysis and Synthesis with top-level entity name “ex0” before running EDA Netlist Writer解决方法:

2021-01-05 20:58:38 3470

原创 FPGA开发笔记(一)vivado2019.2_MicroBlaze_ArtyA735t开发流程_SDK_VITIS

arty a7是基于Artix-7 FPGA设计的开发平台,具有丰富的Pmod接口,扩展性较强,搭建microblaze软核易于开发Arty A7开发板基本外设:LED灯、UART串口、KEY按键、SW拨码开关以下是官网提供的资料链接:arty a7开发板资料Pmod DA4资料vivado安装说明board files添加基于microblaze的vivado开发流程以下是在vivado2017.4_MicroBlaze_ArtyA735t上的开发流程新建工程注:路径不要有中文名,电

2020-12-30 22:06:15 2608

原创 FPGA开发笔记(三)外部DDR3添加与QSPI Flash烧写

之前系统microblaze使用的是本地存储BRAM,空间有限,因此添加外部存储系统DDR3QSPI Flash将程序固化到开发板上,使开发板每次重启时自动烧写程序,新建工程—选择arty a7开发板—创建BD设计—添加系统时钟系统时钟修改添加DDR3,即mig_7series(MIG IP)在arty a7用户开发手册中找到DDR3的表格,找到recommended input clock period,对应的value为166.667MHZ,即给mig_7series的系统时钟sys_clk分

2020-12-30 22:05:59 1624 2

原创 vivado2019_VITIS开发

vivado2019.2的硬件开发步骤与2017.4版本一致,只是后面的软件开发工具不同,一个是VITIS一个是SDK,相比于SDK,VITIS与vivado是相互独立的关系,需要手动打开并导入硬件信息

2020-12-29 20:09:54 7893 1

原创 vivado2019.2安装

在官网下载在xilinx information center中可以查看并管理已经安装的版本信息在vivado2019.2版本中打开之前版本建立的vivado工程,会提示我们更新工程版本,更新IP,按照提示更新即可

2020-12-29 19:59:58 4614

原创 FPGA开发笔记(六)添加pmod模块

arty a7开发板上有4个pmod接口,连接pmod DA4导入相应的驱动ip:在官方github下载vivado-library.zip并解压创建项目后,在bd设计面板中右键选择ip setting,在ip—repository中找到自己下载的驱动ip文件夹导入由于用到了Pmod模块,因此要添加DDR3,否则系统内存会不够用...

2020-12-28 15:06:20 1464

原创 2020-12-19

在tensorflow环境中升级tensorflow(从清华镜像源比较快)pip install --upgrade tensorflow==2.4.0 -i https://pypi.tuna.tsinghua.edu.cn/simple

2020-12-19 20:35:07 79 2

原创 windows10+tensorflow环境下运行Faster-RCNN(2)——用自己的数据集训练并测试

参考网址VOC2007数据集制作 - CSDN博客https://blog.csdn.net/gaohuazhao/article/details/60871886Tensorflow框架下Faster-RCNN实践(一)——Faster-RCNN所需数据集制作(附代码) - CSDN博客https://blog.csdn.net/Best_Coder/article/details/76577544?fps=1&locationNum=8PASCAL VOC数据集分析(转) .

2020-07-29 20:50:31 331

原创 卡尔曼滤波算法目标跟踪

卡尔曼滤波算法是一种基于最小均方误差的最优线性递归滤波方法,以状态方程和观测方程为基础,运用递归方法来预测线性系统变化。状态方程和观测方程如下:X(k)是k时刻的状态向量,A是状态系统矩阵,是状态系统噪声;Z(k)是k时刻的观测向量,H是观测系统矩阵,V(k)是观测系统噪声;系统噪声假设为不相关的零均值的高斯白噪声,协方差分别为Q、R。利用上一个k-1时刻来预测k时刻的状态向量...

2019-02-22 21:13:27 12450 2

原创 运动历史图MHI——程序解析(超详细)

% 获取视频video = VideoReader('PS7A2P1T1.avi');% 得到视频帧数numFrames = video.NumberOfFrames;%构建结构体,包括两个域cdata数据(返回一个视频高度X视频宽度的三维0矩阵,类型为uint8)和colormap颜色(默认)frames(1:numFrames) = struct('cdata', zeros(vi...

2019-01-07 15:38:09 2905

原创 运动历史图MHI

[参考博客](https://blog.csdn.net/m0_37692953/article/details/81585552)MHI:通过计算时间段内同一位置的像素变化,将目标运动情况以图像亮度的方式表现出来。H为运动历史图像强度值,τ为持续时间,δ为衰退函数更新函数采用帧间差法进行定义,ksai是人为设定的差异阈值I(x,y,t)表示第t帧(x,y)像素点的强度值,△表示帧间距...

2018-12-28 15:21:16 1804

原创 C++primer笔记——变量和基本类型

变量的命名(1)首字母只能是_或字母(2)由_,字母和数字组成(不能包含除_外的任何字符)数据类型整型分为带符号(signed)和无符号(unsigned)两种,默认为带符号的(除了char,signed char和unsigned char),带符号的可以表示正数,负数或0,不带符号的只能表示正数或01 byte字节 = 8 bit比特如何选择类型?(1)浮点...

2018-12-21 17:30:09 173

原创 《利用深度卷积神经网络学习的图像特征对肿瘤上皮和间质进行分类》文献阅读笔记

Classification of Tumor Epithelium and Stroma by Exploiting Image Features Learned by Deep Convolutional Neural Networks

2018-12-11 15:20:00 1801

转载 github网页版使用教程

官网教程中文翻译版https://blog.csdn.net/wait_for_taht_day5/article/details/79587844 超详细的图解(博主几乎对每个按钮都进行了标注和解释)https://blog.csdn.net/android_zyf/article/details/64175941...

2018-11-20 22:26:05 535

原创 C++基础——一个典型的c++代码、编码规范

一个典型的c++代码#include <iostream>using namespace std;int main(){ cout<<"Hello world!"<<endl; return 0;}头文件解释:#include<iostream>以#开头的语句称为预处理指令iostream中包含了与输入...

2018-11-18 21:02:29 224

转载 C++基础——VS,CodeBlock,Xcode的使用

VS的使用:建立c++项目编写第一个程序https://blog.csdn.net/flysky1991/article/details/52832083出现无法查找或打开pdb文件的错误,解决方法https://jingyan.baidu.com/article/cdddd41cb0ac6f53cb00e1bb.html解决vs窗口一闪而过https://www.cnbl...

2018-11-17 22:23:13 1456

原创 python(10)——单例、异常

单例设计模式:前人留下的对某一特定问题的成熟的解决方案(套路)单例设计模式:类创建的对象,在系统中只有唯一一个实例,每一次执行类名()返回的对象,内存地址是相同的__new__方法:使用类名()创建对象时,python解释器执行两步:1首先调用__new__内置方法为对象分配空间,返回对象的引用(地址)2调用__init__内置方法进行对象初始化class Music...

2018-11-10 10:39:14 152

原创 python(9)——多态

多继承子类可以拥有多个父类,并且具有所有父类的属性和方法例如:孩子继承爸爸妈妈的特性语法:class子类名(父类名1,父类名2,,,)passclass A: def test(self): print("test方法")class B: def demo(self): print("demo方法")clas...

2018-11-08 18:37:55 164

原创 python(8)——继承

私有属性和私有方法某些对象不想对外界公开的。。定义方式:增加两个下划线例:私有属性class Women: def __init__(self, name): self.name = name self.__age = 18 def secret(self): print("%s的年龄是%d" % (self.n...

2018-11-04 22:38:55 234

原创 python(7)——封装

封装:面向对象编程的一大特点,将属性和方法封装到一个类中,外界使用类创建对象,然后让对象调用方法案例1:小明75和小美45,跑步减0.5,吃饭增1class Person: def __init__(self, name, weight): # self.属性 = 形参 self.name = name self.weight...

2018-11-03 16:00:43 139

原创 python(6)——类_对象_内置方法和属性

对象对象是由类创建出来的,先有类,再有对象(先有设计图纸,再有飞机)类只需要一个,类中有什么属性,创建出来的对象就有什么属性(不能多不能少) 类的三要素:类名(大驼峰命名法CapWords每一个单词的首字母大写,单词之间没有下划线)属性方法 dir()内置函数 定义类创建对象例class Cat: def eat(...

2018-11-02 22:53:47 223

原创 R-CNN论文笔记

《Rich feature hierarchies for accurate object detection and semantic segmentation》用于精确物体定位和语义分割的丰富特征层次结构参考博客:https://blog.csdn.net/hjimce/article/details/50187029?utm_source=blogxgwz8https://blo...

2018-10-30 09:05:21 117

原创 python(5)——变量_参数_返回值

变量的引用变量和数据都保存在内存中,分开存储,数据保存在内存中的一个位置,变量记录数据的地址(这个动作就叫做引用),使用ID()查看这个地址注:在c++中,引用指的是变量的小名本人认为,python中的引用就是地址函数的返回值和参数(形参)都是通过引用(地址)来传递的,返回的是引用,而不是数据函数有返回值,但是没有定义变量接受,程序不会报错,但是无法获得返回结果def...

2018-10-24 21:26:43 274

原创 准确率accuracy精确率precision召回率recall概念

准确率accuracy:  分类器正确分类的样本数与总样本数之比。 精确率precision和召回率recall: 举个栗子,假设有一个二分类问题,每类5个样本,一共是10个样本,若分类器分类的结果取4个为正样本,实际上这四个里面只有2个是正样本,则,recall=分类结果包括的正样本数/总共的正样本数=2/5=0.4precision=分类结果包括的正样本数/总共选的样本...

2018-10-23 11:15:51 988

原创 python(4)——补漏_pycharm使用窍门_ubuntu安装pycharm_mac配置pycharm的anaconda环境

python已经学了有一段时间了,但是有一些基础的点,细节还是不够透彻,所以系统地过一下,查缺补漏几个概念:脚本语言,shell,python都属于解释性语言,c,c++属于编译性语言编译型语言:把做好的源程序全部编译成二进制代码的可运行程序exe。然后,可直接运行这个程序。 解释型语言:把做好的源程序翻译一句,然后执行一句,直至结束!解释性语言速度慢,但是跨平台方便(只需要在不同...

2018-10-20 14:58:16 388

原创 linux系统终端命令(5)

系统信息date和cal查看系统时间cal -y显示一年的时间 查看磁盘信息df显示磁盘剩余空间du显示目录下的文件大小-h人性化显示 进程信息进程:当前正在执行的一个程序ps a显示终端上所有进程ps au显示进程详细状态ps aux显示没有控制终端的进程PID进程代号top动态显示当前进程中cpu和内存占比高的程序(实...

2018-10-18 22:11:29 124

原创 linux系统终端命令(4)

用户和权限(不常用,主要是理解概念)在linux系统中,可以指定每一个用户对不同的文件或目录拥有不同的权限考虑如果一个团队中要让多个开发人员都拥有相同的权限,即提出组的概念,把这些人放在一个组里面。权限r:可读  w:可写  x:可执行111222这些数字表示硬链接数硬链接数为几,就表示有几种方式可以到达 chmod修改用户/组对文件/目录的权限+增加权限-减少...

2018-10-18 18:31:06 155

原创 linux系统终端命令(3)

一 远程管理1 关机/重启shutdown:默认一分钟后关机shutdown -c:取消shutdown -r:默认一分钟后重启shutdown -r now:立即重启shutdown 20:25 指定时间点关机shutdown +10:十分钟后关机注意:一般采用重启-r而不是关机,不然开机很麻烦(滑稽脸)2 查看配置网卡信息明确几个概念:网卡:把电...

2018-10-18 13:59:28 179

原创 linux系统终端命令(2)

一相对路径和绝对路径绝对路径:最前面是/或〜,表示相对于根目录或家目录的完整路径相对路径表示相对于当前工作目录的路径二touch和mkdir和rm命令扩展touch如果文件不存在,则创建文件;如果文件存在,修改文件的末次修改日期mkdir -p a / b / c / d可以递归创建连续多个文件夹注:rm直接将文件删除,不能恢复rm -r可以删除目录rm *...

2018-10-18 10:49:49 150

原创 linux系统终端命令(1)

linux系统终端命令,windows系统的cmd命令、shell脚本三者究竟有什么区别?linux系统终端命令和windows系统cmd命令差不多,每次输入一条指令,而shell脚本是面向过程的,可以把一系列终端命令结合在一起,实现复杂的功能。一6个常见的终端命令ls:列表,查看当前文件夹下的内容pwd:打印工作目录,查看当前文件夹的路径cd:更改目录,切换文件夹(默认的目录...

2018-10-17 14:52:21 483

原创 mac系统下vmware安装虚拟机linux系统ubuntu

安装linux系统主要是为了学习linux系统指令安装参考网址:https://blog.csdn.net/jackjia2015/article/details/50757430出现的几个问题:莫慌,指的是虚拟机中,不会对原本的mac系统有任何影响安装位置如下:安装ubuntu64时到设置用户名密码的时候,鼠标定位不到输入的位置,很尴尬,于是就把vm虚拟机资源库的...

2018-10-16 16:31:55 794

原创 python(3)——文件

文件的存储方式:文本文件和二进制文件文本文件可以直接由文本编辑器查看,而二进制文件需要用其他软件打开,如图片,视频,音频文件,直接用文本编辑器查看会显示乱码,但是文本文件和二进制文件本质上都是二进制文件。操作文件的套路:1 打开2 读取3 关闭在python中操作文件需要记住的1个函数和3个方法:函数:open方法:readwriteclose在...

2018-10-16 10:40:19 160

原创 python(2)——re模块:正则表达式2

re.split 根据模式来分割字符串import retext='a, b,,,,c d'print(re.split('[, ]+', text))#re.split:以空格和字符串分割字符re.findall 返回列表,包含所有与给定模式匹配的子串import repat = '[a-zA-Z]+'text=' " hmmm ... err --- a r...

2018-10-15 19:08:26 196

原创 python(2)——re模块:正则表达式1

实验结果输出文档,包括多项参数(大约几百个),想把所有的loss value对应的值提取出来,画出曲线图,这就需要用到正则表达式,基于此,开始学习正则表达式。正则表达式:可匹配文本片段的模式通配符:句点.(.ython与jpython与python与 ython都匹配,但不与ython不与tpython匹配,因为一个句点只匹配一个字符,而不与零或两个字符匹配,除换行符外与其他字符都匹配。...

2018-10-15 09:35:43 171

原创 python(1)——模块:开箱即用

 一.开箱即用之前总结的将模块作为函数导入程序中:https://mp.csdn.net/postedit/80904368二.查明模块包含什么:dir()dir(copy)    使用help获取帮助help(copy)help(copy.copy)help(copy.copy.__doc__)  阅读模块的源代码,查找路径(注意不要对文件进行修改)pr...

2018-10-14 15:47:41 511

原创 python机器学习入门(3)——装饰器和元类

记住这几句话:万物皆对象装饰器(decorator):函数亦对象元类(meta class):类亦对象对象意味着可以被赋值给变量,通过变量也能调用此对象 两个简单的程序:装饰器例程:实现对函数func的计时 元类例程:实现如下需求:1定义一个“人”类(person),包括吃饭,睡觉,学习2定义person的三个子类,包括zhang,wang,ming...

2018-10-13 20:07:11 308

原创 java(1)基本概念整理+eclipse安装配置

基本概念以及与python的区别:1 明确源文件,类,方法,语句这几个概念2 while()和if()的条件只能是布尔值,以下程序不行,但是python却可以int x=1;while(x){}//if(x){}3 println相比print多了一个换行的功能system.out.println("lcc")4 void main前面的void表示没有返回值(勾起...

2018-10-13 12:47:00 10523 1

原创 数模(9)——线性规划、非线性规划与01规划模型

解决线性规划,非线性规划等问题推荐使用lingo软件线性规划:lingo程序:max=2*x1+3*x2;x1+2*x2<=8;4*x1<=16;4*x2<=12;非线性规划(出现平方,立方这种,,,都是非线性规划,现实生活中的问题大多都是非线性规划)Model:max=98*x1+277*x2-x1*x1-0.3*x1*x2-2*x...

2018-08-30 10:22:06 7808 1

原创 数模(8)——排队论模型

MM1排队系统:MMS模型MMS排队模型程序(S=1时即为MM1排队模型)s=2;%服务台数mu=4;%单个服务台一小时内服务的顾客数lambda=3;%单位时间(一小时)到达的顾客数ro=lambda/mu;ros=ro/s;sum1=0;for i=0:(s-1) sum1=sum1+ro.^i/factorial(i...

2018-08-29 18:48:47 36078 7

labview四路摄像头采集图像

自己设计的一个四路摄像头采集图像的labview程序,经过多次修改的最终版本,使用状态机,修复错误退出提示

2018-05-07

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除