自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(17)
  • 资源 (9)
  • 收藏
  • 关注

原创 剑指Offer(数组):03;04;29;53-1;53-2;11

03.数组中重复的数字(1)哈希集合:public int findReapeatNumber01(int [] nums)//一定要有返回值,哈希集合 { Set<Integer> dic= new HashSet <>(); for(int num:nums) { if(dic.contains(num)) return num; dic.add(num); } return -1; }(2)原地交换:public int

2021-04-13 11:01:21 151

原创 Leetcode(一):二分查找(34、69)

Leetcode二分查找的题目:34;69。关于二分查找,对于一个升序数组,其伪JAVA代码如下:int l = 0;int r = num.length - 1;int ans=num.length;//根据实际情况进行初始化,不要初始化成0,如题目34while (l <= r){ int mid = l + (r - l) / 2;//防止溢出,不使用(l+r)/2 if (num[mid] >= key)//此处为二分查找想要满足的条件,根据34和69自行体会一

2021-04-12 16:08:49 188

原创 JAVA(一):内部类和嵌套类

转载:https://www.cnblogs.com/littlepanpc/p/3778472.html

2021-04-10 10:47:39 132

原创 数据结构与算法(二)队列、栈、链表

一、队列1.先进先出。2.队首指向第一个,队尾指向最后一个的下一个。二、栈1.后进先出。2.栈顶。三、链表

2020-11-08 16:16:54 132

原创 数据结构与算法(一)排序

一、桶排序1.时间复杂度:O(M+N),M为桶的个数,N 为待排序数的个数。二、冒泡排序1.时间复杂度:O(),N为待排序个数。三、快速排序1.时间复杂度:最差:O();平均:O(NlogN)2.注意查找方向与基准值位置的关系,要从基准值对面开始查找...

2020-11-08 11:29:58 74

原创 FREERTOS(一)列表与列表项

转载:https://jiejie.blog.csdn.net/article/details/80637559

2020-06-27 09:54:28 143

原创 C 关键字volatile总结

转载1:https://www.runoob.com/w3cnote/c-volatile-keyword.html转载2:https://www.cnblogs.com/armlinux/archive/2010/09/14/2396918.html转载3:https://www.cnblogs.com/thammer/p/10650750.html

2020-06-27 09:50:57 97

原创 STM32F103笔记(二):中断与事件

非原创,参考文章:https://blog.csdn.net/flydream0/article/details/8208463。这张图是一条外部中断线或外部事件线的示意图,图中信号线上划有一条斜线,旁边标志19字样的注释,表示这样的线路共有19套.图中的蓝色虚线箭头,标出了外部中断信号的传输路径,首先外部信号从编号1的芯片管脚进入,经过编号2的边沿检测电路,通过编号3的或门进入中断挂起请求寄存器,最后经过编号4的与门输出到NVIC中断检测电路,这个边沿检测电路受上升沿或下降沿选择寄存器控制,用户可以

2020-05-23 10:56:26 451

原创 STM32F103笔记(一):GPIO_Init()函数详解

GPIO_Init()函数详解,具体参考以下两篇文章。1.http://www.51hei.com/bbs/dpj-34504-1.html函数目的:对GPIO进行初始化。如果对寄存器操作GPIO有一定了解的话,对下面理解起来就比较简单。如果将GPIO口设置为输出模式,要设置两个寄存器,CRL与ODR。CRL:规定了低8位GPIO的输出输入状态模式。ODR:只用[15:0]确定GPIO端口的输出值。如下重点要理解CRL的工作方式。CRL设置原理如上:例如设置端口PD7,那么就需要设置[

2020-05-23 10:27:56 5501 2

原创 使用python中的Selenium爬取百度文库word文章

参考文章:Python3网络爬虫(九):使用Selenium爬取百度文库word文章,链接为:https://blog.csdn.net/c406495762/article/details/72331737,感谢作者。python版本:3.6运行平台:windows浏览器:chrome本文是在该参考文章的基础上写作,请各位在阅读参考文章的基础上再阅读本文,关于Selenium库...

2019-08-11 20:38:01 1162 4

原创 MSP430G2553使用CCS8.0编程注意点总结

本文总结了在利用MSP430G2553做实验时的一些通用错误及注意点,用的软件为CCS8.0,供大家借鉴,具体工程不再给出,若有相似问题或本文有错误,可留言,我尽量回复。文末附有MSP430的用户手册、数据手册、学习笔记及《从零开启大学生电子设计之路》电子版及相关例程的下载地址,我也会尽量持续总结并更新。【错误1】:在“UART_Global.h“中已经定义全局变量“extern unsigned...

2018-06-24 20:07:03 5420 6

原创 Verilog自顶向下设计24进制和60进制计数器(FPGA)

提供Verilog自顶向下设计24进制和60进制计数器(1Hz,频率可调)并用数码管动态显示的代码,且两个程序皆在Basys2开发板上验证通过。程序思路:首先将程序分为4部分:分频程序、计数程序、数码管动态显示程序、顶部程序。合理安排输入与输出接口。注意顶部程序中的连接变量必须设置为wire型。24进制计数器代码下载地址:点击打开链接60进制计数器代码下载地址:点击打开链接觉得有用就赞一个~欢迎大...

2018-04-26 12:23:06 12249 3

原创 Verilog设计十进制加法器(FPGA)

本文提供了一个同步清零、同步置数的十进制加法计数器代码和一个异步清零、异步置数的可逆十进制计数器代码,且使用ISE13.4综合通过并在Basys2开发板上成功验证功能,此外大家可以修改代码以调节周期。同步清零、同步置数的十进制加法计数器代码:module add_1( input clk,//50MHz,20ns input sw0,//清零 input sw1,//置数 input ...

2018-04-25 17:51:12 18589 2

原创 Verilog4位寄存器程序(可调周期)

本文提供了用Verilog设计4位寄存器的代码,且时钟周期可调,实现异步清零与同步置数,已通过Basys2开发板验证。代码如下:module register#(parameter N=4)( input wire load, input wire clr, input wire clk, input wire [N-1:0] d, output reg [N-1:...

2018-04-22 23:30:43 6888

原创 Verilog设计一个秒脉冲发生器(FPGA)

本文提供用Verilog设计秒脉冲发生器的代码,且在Basys2开发板上验证通过,本代码产生的脉冲周期为1s,可通过改变if语句中的m的判定值来改变脉冲周期。代码如下:module pps_1( input wire clr,//手动复位 input wire clk,//外部时钟,所用时钟为50MHz,周期近似为20ns output reg q//脉冲信号 ); ...

2018-04-22 23:04:42 20799 7

原创 有限差分法MATLAB程序

设有一个长直接地金属矩形槽,长a=40,宽b=20,其侧壁与底面电位均为零,顶盖电位为100V(相对值),求槽内电位分布。利用高斯迭代求解代码如下(相邻两次迭代值最大允许误差为0.001):a=zeros(21,41);a(1,:)=100;b=zeros(19,39);c=eye(19,39);count=1;d=0;while(count==1) m=0; for ...

2018-04-22 19:05:01 44322 4

原创 Verilog实现4位数码管动态显示(FPGA)

    本文提供了在Basys2开发板上实现4位数码管动态显示的代码,并在ISE13.4_1上调试通过,下载到开发板后可实现将8个SW输入的两位十六进制数对应的8421BCD码,显示在数码管上。首先,是以十六进制数显示。比如,在8个开关SW上输入2FH,四个数码管从左到右显示0215。以下为代码:module x7seg_1( input wire [3:0] high_data, ...

2018-04-22 15:21:15 49571 8

ChromeDriver 76.0.3809.68.zip

ChromeDriver 76.0.3809.68(win32,win64也可兼容使用,使用时需注意chrome版本),python,Selenium。

2019-08-10

MSP430用户手册、数据手册、学习笔记

文件包含MSP430用户手册、数据手册、部分学习笔记,对于初学者用处较大。

2018-06-23

Verilog自顶向下60进制计数(FPGA)

使用Verilog自顶向下设计60进制计数器(例子为1Hz,可修改频率),并用数码管动态显示,已在Basys2开发板验证通过。

2018-04-25

Verilog自顶向下设计24进制计数器(FPGA)

使用Verilog自顶向下设计24进制计数器(例子为1Hz,可修改频率),并用数码管动态显示,已在Basys2开发板验证通过。

2018-04-25

Verilog实现可逆计数器(FPGA)程序

Verilog实现可逆计数器,可根据需要调节周期,且该程序已在Basys2开发板上验证成功。

2018-04-25

Verilog4位寄存器程序(可调时钟周期)

Verilog FPGA 4位寄存器 异步清零 同步置数 可调时钟周期

2018-04-22

Verilog秒脉冲程序(可调节脉冲周期)

Verilog秒脉冲程序(可调节脉冲周期),不想花积分下载可以移步https://mp.csdn.net/postedit/80044360

2018-04-22

MATLAB有限差分法程序

MATLAB有限差分法求解拉普拉斯(Laplace)方程,长直接地金属矩形槽内部电位分布

2018-04-22

Verilog动态数码管显示十进制

Verilog 二进制转换十进制动态数码管显示,如8个SW开关输入7FH,四个数码管依次显示127。

2018-04-22

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除