自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(130)
  • 收藏
  • 关注

原创 数字IC后端设计实现 | PR工具中到底应该如何控制density和congestion?(ICC2&Innovus)

数字IC后端设计实现中到底应该如何控制cell density和congestion?(ICC2和Innovus两种解决方案)

2024-01-20 13:37:36 1597

原创 数字IC后端设计如何从零基础快速入门?(内附数字IC后端学习视频)

数字IC后端设计实现应该如何快速入门?

2024-01-20 11:53:10 1249

原创 数字IC芯片设计实现 | 时序Timing Signoff check_timing检查解析

数字IC芯片Timing Signoff之check_timing report解读

2024-01-08 22:14:26 1329

原创 数字后端设计实现之自动化useful skew技术(Concurrent Clock &Data)

数字IC后端设计实现之自动化useful skew技术应用——PPA Push经验

2024-01-08 15:11:38 1342

原创 数字后端设计实现 | 数字后端PR工具Innovus中如何创建不同高度的row?

数字IC后端设计实现之innovus中如何创建不同高度的row?

2024-01-05 16:21:30 856

原创 数字IC后端实现之Innovus TA-152错误解析(分频generated clock定义错误)

数字IC后端PR实现之Innovus TA -152错误解析

2024-01-05 16:13:20 1154

原创 数字IC实战后端项目| 必须掌握的28个SoC低功耗项目经验!

数字IC后端实战项目——基于TSMC 28nm低功耗四核A7 top的hierarchical flow物理设计实现

2024-01-04 17:01:38 1164

原创 数字IC后端实现之快速获取innovus中drv violation的所有net list

数字IC后端设计实现之快速获取innovus中drv violation的所有net list

2024-01-04 16:17:34 1343

原创 Calibre PEX Hspice Netlist提取步骤(数模芯片提取spice netlist流程)

Calibre PEX Hspice Netlist提取方法和流程

2024-01-03 12:53:53 966

原创 数字IC后端设计实现之Innovus update_names和changeInstName的各种应用场景

数字IC后端设计实现之innovus update_names和changeInstName的具体使用方法

2024-01-03 12:25:03 761

原创 Clock Gen模块Routing DRC,Timing分析及解决

数字IC后端实现之clock gen时钟产生电路的时钟树综合

2024-01-02 15:18:43 359

原创 数字IC后端实现之物理验证Calibre LVS常见错误案例解析

数字IC后端实现之物理验证Calibre LVS常见错误案例解析

2024-01-02 14:35:07 1844

原创 数模混合SoC芯片中LEF2Milkyway的golden flow

如何把数模混合soc芯片提供的io port lef转成innovus格式的def

2023-12-30 10:33:20 1202

原创 IC入门必备!数字IC中后端设计实现全流程解析(1.3万字长文)

数字IC后端设计实现全流程解析

2023-12-30 10:25:37 3018 1

原创 数字IC后端实现 |TSMC 12nm 与TSMC 28nm Metal Stack的区别

数字IC后端设计实现中T12nm和T28nm工艺metal stack的区别

2023-11-04 16:31:05 1815

原创 2024届IC秋招兆易创新数字IC后端笔试面试题

2024届IC秋招之兆易创新数字IC后端笔试面试题

2023-07-28 11:50:20 1494

原创 数字IC后端设计实现中的Post-mask ECO应该怎么做?

数字IC后端设计实现中的Post-mask ECO应该怎么做?

2023-07-17 19:34:44 1493

原创 时钟树综合跑不下去,怎么破?

但如果你经常看小编分享的时钟树综合topic,你一定知道我们可以让工具只做clustering这个步骤,这样我们就可以快速找到逻辑最长的clock path并及时修正我们的clock spec,比如clock skew group的冲突问题等等。遇到这样的设计,如果你仅仅是个跑PR Flow的工程师,那么这个时钟树综合出来的结果一定惨不忍睹,而且通常是跑不出来的。所以,我们只需要按照下面的设置来做clock tree即可快速定位到本案例中的问题点,然后做适当修改就可以做出一个完美的时钟树出来。

2023-06-11 21:48:07 1764

原创 IC数字后端 | innovus如何控制tie cell的fanout和net length

同时如果有这种要求的情况,我们就不能让前端在综合的时候就插入 tie cell,需要把综合阶段的 tie cell 设置成 dont use,这个留给我们后端自己插就可以,如果前端已经在网表里面插入了 tie cell,那么就需要在我们插 tie cell 之前,用deleteTieHiLo 把设计里面的 tie cell 都删除掉,不然网表里面自带的 tie cell,innovus 是不会去按照我们的要求重新优化的。

2023-05-28 16:33:38 1068

原创 低功耗IC后端培训 | 盘点Power Switch Cell在实际项目中应用注意事项

随着工艺制程越做越小和芯片规模越来越大,芯片的leakage的比重越来越高,数字后端实现时就得考虑leakage的优化。而leakage优化很重要的一个手段就是power gating。Power Gating字面意思就是对电源Power进行控制,即通过切断电源网络的方式来节省leakage。之所以能这么干,是因为芯片中有的模块并不需要一直处于工作的状态。所以我们仅仅需要在模块需要工作的时候给它供上电即可。这个可以通过我们预先设置的控制信号来做控制。

2023-05-23 20:58:57 1982

原创 芯片Tapeout之数字后端实现Review

参与过芯片Tapeout的同学,都知道在芯片GDS出去之前都要做一系列的Review和Checklist检查。一般来说只要严格一项项做过检查,确保没有问题后,芯片回来都是可以正常工作的。小编今天分享一份社区做设计服务一直在用的checklist。大家可以根据这份表格的check items来编写自动化的检查程序或脚本(很多脚本都在知识星球上分享过)。一旦临近项目tapeout,我们就可以把这整套脚本release出来,让项目组的同事都做一遍检查。相关负责人只需要去看看生成的report即可。

2023-03-12 22:51:12 1650

原创 秒杀数字后端实现中clock gating使能端setup violation问题

秒杀数字后端实现中clock gating使能端setup violation问题点击标题下「蓝色微信名」可快速关注昨天小编的粉丝们在我们微信技术交流群讨论到 clock gating 使能端 setup 的问题。微信技术交流群的福利就是这里面大家可以和各种大神互相交流,更重要的是小编是群主,什么好处你们懂的(微信技术交流群二维码在文章末尾,今天小编收到公众号推送的一个提问码,放在文章尾部,看看好不好玩,小伙伴们一起来玩玩体验下)。吾爱 IC 社区的小编发现还是有很多的工程师不太理解为何 clock g

2022-05-09 14:56:52 2663 1

原创 Calibre LVS -手把手教你如何debug LVS的short和open

Calibre LVS |手把手教你如何debug LVS的short和open文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点。点击进去后出现任何损失与社区无关。为了更好服务好大家,现把小编目前这边的主营业务向大家汇报下。其中对于设计外包这块,欢迎有后端外包需求的朋友联系小编(微信号:ic-backend2018),当然如果你有资源也可以介绍给我,一定有丰厚的现金奖励(抽成 5 个点)。 吾爱 IC 社区目前主营业务 1 技术咨询(包括技术难题咨询,项目 tapeout review

2022-05-09 14:47:53 6368 1

原创 Innovus零基础lab学习全面复盘总

Innovus零基础lab学习全面复盘总结(附完整版pdf)为了让各位训练营学员更快入门数字 IC 后端,从第八期 IC 训练营开始,小编以一个**“数字后端新手” 的身份和姿态**同每个 0 基础学员一样,每天按照学习计划来完成 Innovus 零基础 lab 的学习实践。当然小编比各位累得多,因为要对这套 lab 做加减法。对原始 lab 中介绍的那些不怎么用的章节直接删除,对实际项目高频而且非常重要的技能做适当补充。更为要命的是还得图文并茂。今天,小编将对过去 11 天的 innovus 零基础

2022-03-29 10:32:16 7392 1

原创 IC后端实现训练营实战项目案例 _ se

IC后端实现训练营实战项目案例 | setup violation高达50ns?一转眼一年就过去了,今年你过的还好吗?有没有遇到生命中的贵人呢?如果有,请不要小气,大胆跟对方说声谢谢。真的只要这两个字就可以了。人真的要时刻保持一颗感恩的心,感恩自己所拥有的一切,感恩那些曾经帮助过你的人,更要感恩那些总是给你设置障碍的人。因为他们都能够让你成才得更快,更早遇见那个更好的自己。吾爱 IC 社区为了感恩各位读者一如既往的支持,还是老惯例,年底会有系列福利活动(年终千元现金红包抽奖 + 星球优惠活动)。年度现

2022-02-02 15:16:56 2614 2

原创 我们正在招聘若干名年薪100w+的数字I

我们正在招聘若干名年薪100w+的数字IC后端工程师在开始分享今天的技术干货之前,先给大家带来3 个数字 IC 后端岗位,都是高薪工作哦!薪资参照目前市场行情来,一定不会让大家失望,技术专家薪资起步 100 万年薪!学习技术的目的是为了提升自己的技术水平,积累更多实战经验,从而能够实现咱们社区全员年薪百万的宏伟目标。这个目标真的没有那么遥不可及,就看你有没有一颗积极向上,持续学习,认真做技术沉淀的心了。理论上此时正在看这篇文章的读者都没问题,So,do you want to have a try?

2022-01-16 19:56:18 2064

原创 数字IC后端实现40天速成篇(中)

数字IC后端实现40天速成篇(中)为了更好服务好大家,现把小编目前这边的主营业务向大家汇报下。其中对于设计外包这块,欢迎有后端外包需求的朋友联系小编(微信号:ic-backend2018),当然如果你有资源也可以介绍给我,一定有丰厚的现金奖励(抽成 5 个点)。吾爱 IC 社区目前主营业务1 技术咨询(包括技术难题咨询,项目 tapeout review 等)支持个人与公司的项目技术支持。2 项目外包服务(承接范围从综合到 GDSII out)小编拥有近 11 年数字 IC 后端实现经验,精通数

2021-12-28 15:55:40 2722

原创 数字IC后端实现40天速成篇(上)

数字IC后端实现40天速成篇(上)为了更好服务好大家,现把小编目前这边的主营业务向大家汇报下。其中对于设计外包这块,欢迎有后端外包需求的朋友联系小编(微信号:ic-backend2018),当然如果你有资源也可以介绍给我,一定有丰厚的现金奖励(抽成 5 个点)。社区目前主营业务1 技术咨询(包括技术难题咨询,项目 tapeout review 等)支持个人与公司的项目技术支持。2 项目外包服务(承接范围从综合到 GDSII out)小编拥有近 11 年数字 IC 后端实现经验,精通数字 Top,

2021-12-27 21:58:28 3713 4

原创 社区数字IC后端终极课程即将上线

社区数字IC后端终极课程即将上线为了让大家能够更系统地学习实践数字 IC 后端领域的各种技能, 积累更多实战经验,小编今年花费了很大力气将咱们这个岗位的所有核心技能进行了一个盘点和细化,并据此开发了对应的课程或训练营。说实话整套课程体系覆盖了数字 IC 后端工程师需要掌握的 96% 的核心技能 。九大课程介绍1)ARM Cortex-A7 数字后端实现该课程为目前社区训练营项目,共有两个 PR flow,分别是 ICC2 和 Innovus。该项目从 Netlist 开始一直到 GDSII out

2021-12-26 10:10:33 3957

原创 Innovus中timing eco后setup margin跑哪里去了?(知识星球福利活动)

Innovus中timing eco后setup margin跑哪里去了?(知识星球福利活动)之前小编承诺知识星球每年会做1-2 次 的优惠活动(中秋节和春节),今天抽空简单做了两个优惠券海报,名额有限,需要的可以领取使用。新用户优惠券老用户续费优惠券知识星球提供的主要服务数字后端实现技术疑问解答Synopsys&Cadence 各工具 workshop,lab guide 以及 lab data数字 IC 后端笔试面试500 题 (带答案版本)EDA 虚拟机 +

2021-09-20 09:31:00 2681

原创 时钟树综合知识分享

时钟树综合干货分享文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点。点击进去后出现任何损失与社区无关。在今天主题分享之前,发一个社区的招聘信息。吾爱 IC 社区正式对外招聘讲师 啦。想不想跟小编一起工作,想不想在业余时间赚点 money?想不想在这个平台上实现名利双收?优秀的讲师有机会成为我们的合作伙伴 ,将来副业收入会远高于主业收入 (即便你目前年薪百万)。如果你擅长以下任何一个细分领域 (或掌握的比较好),并且喜欢做内容分享这块,欢迎就以下任何一个方向制作一只视频,并发送到小编的邮箱。这

2021-09-13 20:26:27 4322

原创 ARM Cortex-A7时钟树综合实战分析

ARM Cortex-A7时钟树综合实战分析吾爱 IC 高端技术交流社区 — 知识星球目前已经拥有1040 位星球成员(目前数字 IC 领域最大的高端技术社区)。在运营星球的三年多时间里,小编接触到各种各样的人,各种各样的问题,也学了不少新知识。社区之所以有今天,离不开大家的支持,所以感恩有各位的鼓励和支持,真的是满满的感恩之情。所以,最近小编想做一件事情——免费帮助企业做数字 IC 相关岗位 的招聘宣传(校招和社招均可)。对的,完全免费 ,没有任何附加条件。感兴趣的企业 HR 或企业招聘专员 均可以将

2021-08-16 09:39:03 1501

原创 数字IC后端笔试500题出炉(附答案)

数字IC后端笔试500题出炉!(附答案)吾爱 IC 社区吾爱 IC 社区(52-ic.com)是一个专业交流和分享数字 IC 设计与实现技术与经验的 IC 社区145 篇原创内容公众号新一年的秋招又要到了,为此小编特定编写整理了一份数字 IC 后端笔试 500 题 ,部分内容如下所示。这份文档是以腾讯文档形式共享的,后续会根据需求不断新增题目,到时候各位看到的这份文档也会自动同步更新。这份文档分带答案 和不带答案两个版本。不带答案的版本是分享给社区的所有读者,而带答案的版本仅供小编知识星球内部

2021-07-06 19:44:30 7965

原创 深入浅出讲透set_multicycle_path,从此彻底掌握它

深入浅出讲透set_multicycle_path,从此彻底掌握它点击标题下「蓝色微信名」可快速关注今天在跑 PR flow 后 debug timing 时,发现前端给的 constraint 中存在一点问题,若干地方的时序本可以设置 multicycle 的 path,给漏设了,直接影响工具对 design timing 的优化力度。因此,小编打算写一篇文章来介绍下 multicycle path 的概念和用法,同时也带领大家复习下 setup 和 hold 的时序检查机制。通常情况下,两个同步的

2021-06-09 16:19:41 10504 2

原创 手把手教你如何在Innovus中解决local congestion问题

手把手教你如何在Innovus中解决local congestion问题吾爱 IC 社区吾爱 IC 社区(52-ic.com)是一个专业交流和分享数字 IC 设计与实现技术与经验的 IC 社区141 篇原创内容公众号在实际项目中,我们经常会遇到设计中容易出现局部区域的 congestion 问题,导致局部绕线出现问题。这种现象特别容易出现在编解码,逻辑运算比较的设计中(比如 AI 运算),比如模块包含大量的乘法器,全加器和半加器等。那么,遇到这种情况你们是否能够从容应对并高效解决呢?相信大部分

2021-06-07 19:02:22 5498

原创 数字IC设计中ECO的那些事,其实并不是事

数字IC设计中ECO的那些事,其实并不是事!点击标题下「蓝色微信名」可快速关注刚好最近一直在忙着芯片的测试工作(发现某些 bug),都没来得及规划公众号文章的内容,都是想到啥写啥。等后面没那么忙的时候,小编就抽空来整理一个清单,让大家循序渐进,系统地来学习数字后端设计实现的整个环节。so, 今天先跟大家聊聊数字 IC 设计中 ECO 的那些事。ECO 中文翻译就是工程变更(Engineering Change Order)。很多工作几年的朋友,肯定或多或少都接触过这个事情。因为 design 中写的算法

2021-06-06 18:54:52 9823

原创 教你轻松调DCT和ICC之间Timing与Congestion的一致性

教你轻松调DCT和ICC之间Timing与Congestion的一致性转眼间,小编的公众号已经运营了一个月了,粉丝数量也有六百了。在此感谢各位的支持,小编一定会不忘初心,砥砺前行,不断分享更多干货。希望下个月本公众号粉丝数能够翻一翻,希望各位多帮忙转发宣传下,感谢还是感谢!小编开通赞赏功能后,也获得了六位童鞋的赞赏,很是激动,感谢你们(虽然没多少钱,仍然很有成就感)!前天小编推送了数字芯片设计实现中修复 setup 违例的方法汇总,这篇文章提到了 based DCT 的综合来优化时序。由于 DCT 和

2021-06-04 17:37:33 1772

原创 秒杀数字后端实现中clock gating使能端setup violation问题

秒杀数字后端实现中clock gating使能端setup violation问题点击标题下「蓝色微信名」可快速关注昨天小编的粉丝们在我们微信技术交流群讨论到 clock gating 使能端 setup 的问题。微信技术交流群的福利就是这里面大家可以和各种大神互相交流,更重要的是小编是群主,什么好处你们懂的(微信技术交流群二维码在文章末尾,今天小编收到公众号推送的一个提问码,放在文章尾部,看看好不好玩,小伙伴们一起来玩玩体验下)。吾爱 IC 社区的小编发现还是有很多的工程师不太理解为何 clock g

2021-06-03 13:53:43 5600

原创 数字后端面试问答No.1-3(每日三问)

数字后端面试问答No.1-3(每日三问)点击标题下「蓝色微信名」可快速关注最近公众号陆续收到粉丝们的留言,其中不少反应马上就到应届生校园招聘的时候了,要求发些各大公司的数字后端笔试面试题目。所以吾爱 IC 社区的小编也在陆续整理,汇编各种笔试面试题目,当然还包括自己命题的一些题目(网上肯定找不到的题目)。目前试推出每日三问,看看效果,有任何建议和意见欢迎在公众号留言。另外,微信技术交流群目前已经超过 100 人了,不能扫描进入,所以想进微信群交流的朋友,可以加小编微信(文章末尾处有二维码),然后邀请各位

2021-06-02 12:26:15 1480

原创 原来电路最高工作频率是这么算出来的(STA基础篇)

原来电路最高工作频率是这么算出来的(STA基础篇)近期不断收到各位粉丝的提议,希望小编多讲些数字 IC 设计实现中的基本概念。小编想了想,干货太多了,可能有的人会觉得口渴,甚至消化不了,后续会在保持干货的同时,陆续讲些比较基础的概念。小编的公众号的内容会涉及到数字 IC 设计实现中的各个环节,从逻辑综合,布局布线,物理验证,静态时序分析,IR drop 等方面。干货多多,就看你爱不爱学习了,还没关注公众号的小伙伴们,赶紧关注了,机不可失!今天吾爱 IC 社区的小编给各位介绍 STA 中最基本的概念以及教你

2021-06-01 10:19:34 6053

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除