自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

SeniorZ的点滴记录

记录工作和生活

  • 博客(75)
  • 资源 (4)
  • 收藏
  • 关注

原创 VirtualBox打开虚拟机报错 supr3hardenedwinrespawn what 5

现象:首次安装virtuabox之后,可以正常打开软件,并成功安装ubuntu虚拟机。但一旦重新启动电脑(win10 企业版1607),再次打开virtualbox软件,点击启动虚拟机,就会出现报错:“virtualbox supr3hardenedwinrespawn what 5 verr_invalid_name (-104)”解决方法:管理员身份打开注册表:regedit找到HKEY_LOCAL_MACHINE\SYSTEM\CurrentControlSet\services\VBo

2021-09-04 15:33:22 8871 33

原创 OpenGLES3.0 Android Studio创建三角形

主要有三个类:MainActivity:创建GLSurfaceView对象 HelloTriangleRenderer:实现GLSurfaceView.Renderer接口(渲染程序类) MyGLSurfaceView:创建GLSurfaceView的拓展类,用以实现诸如触摸事件处理的操作(具体解释: https://developer.android.com/training/graphics/opengl/environment?hl=zh-cn#glsurfaceview) MainA

2021-03-17 21:59:22 682

原创 Ubuntu 16.04 LTS配置Android NDK与交叉编译环境

背景:在Ubuntu 16.04开发C/C++程序,编译生成适配MTK平台的android手机 版本:Android Q(API版本29) API Level:https://source.android.com/setup/start/build-numbers?hl=zh-cnNDK下载与配置:下载地址:https://developer.android.com/ndk/downloads(最新 LTS 版本 (r21d) 2020-12-21) 解压:如 ~/sdk-tools/a

2020-12-21 21:20:25 961 4

转载 Eclipse C/C++ windows无法调试的问题

launch failed no binaries 错误Windows > Preferences > C/C++ > New CDT project wizard > Makefile Project > Binary Parsersa) Set it to Windows PE Parser if you are on windows.b) Set it to Cygwin PE Parser if you are using CygwinWindows .

2020-12-02 23:06:25 245

原创 Discuz论坛无法上传头像/ 企业邮箱被归为垃圾邮件的问题

上传头像失败:ucenter和全局域名要加https企业邮箱被归为垃圾邮件,discuz管理员邮箱要和企业邮箱一致

2020-05-27 20:42:12 499

原创 16位数据在8位FLASH中的写入和读取

背景:数据采集时的数据位uint16_t类型的数据,需要将其写入8位flash,以及从8位flash中还原。 一个16位数据,如 uint16_t temp = 0x1234,在8位flash中会在相邻的两个地址中按顺序保存,被拆分为0x12和0x34(注意大小端),如何将这个16位数据直接写入8位flash?有两种方法,一种是把数据声明为联合体,另一种是指针操作。关于联合体...

2020-04-30 16:17:14 2244

原创 KeiL 调试时保存watchwindow的参数变量到文件

背景:定义了一个数组,程序执行后维数组赋值,数组元素的值可在watchwindow显示,但无法直接保存到文件假定程序中定义的数组为uint32_t char testarray[100];解决方法:1.进入debug状态,完成数组赋值2.暂停debug3.在µVision function editor中创建函数:(µVision function editor的打开方...

2020-02-27 14:59:41 6545 8

原创 【电子设计】AD2019网状铜加地孔(缝合孔)

背景:双层板顶层和底层铺铜(网状铜)之后打地孔(缝合孔)Via stitching功能出错,unable to add any stitching vias to net gnd。解决方法:打地孔在网状铜的情况下出错,可以先把铺铜类型修改为实心铜,然后使用Tool->Via Stitching/Shielding功能添加缝合孔,最后重新把铺铜类型改为网状铜即可。...

2020-01-11 21:37:40 3906 2

原创 ADI Diff-Amp Calculator差分放大器件计算器使用方法

Diff-Amp Calculator便于计算单端转差分放大,差分转差分放大,在满足输入信号和输出信号的参数要求下,配置元件增益自动计算Rf和Rg阻值大小。下载地址:https://www.analog.com/cn/design-center/interactive-design-tools/adi-diffampcalc.html举例如下SingleEnd模式:输入信号摆幅...

2019-12-25 16:48:13 1950

原创 使用Keil RTE完成基于STM32F407-DISC1开发板的LED闪烁

1.新建工程2.选择STM32F407VGTx芯片3.在RTE中选择组件如果出现依赖问题,点击下方的Resolve按钮解决,如还有问题,对照信息手动选择。4.在Project管理器中添加main.c/h,stm32f4xx_it.c/h文件此时左侧列表文件如下5.在Options->C/C++的Define中添加HSE_VALUE=8000000...

2019-11-09 19:09:06 1544

原创 Keil代码语句(ifelse while for等)补全快捷键

语句模板,比如if else, for, while这些基本语句的模板先输入前面几个字母,然后按下Ctrl+Shift+空格即可补全参考资料:http://www.keil.com/support/man/docs/uv4/uv4_ui_templatestab.htm...

2019-11-05 16:22:37 4009

原创 Keil有关MicroLIB的注意点

在keil中使用malloc()函数来动态分配内存,返回了分配内存的首地址,但是却无法给内存赋值。同样的程序使用linux GCC编译器编译并运行没有任何问题。因此求助万能的网。经过一番查阅,原来keil中如果需要使用malloc()、calloc()、free()等函数,需要开启MicroLIB。参考资料:https://blog.csdn.net/electrocrazy/articl...

2019-10-30 17:00:29 950

原创 正点原子delay函数移植到rt thread操作系统(HAL库)

正点原子教程中涉及到的操作系统只涉及了UCOS的教程,其中例程的system文件夹中的delay.c函数只是适配了UCOS。下面将delay.c函数移植到rt thread中,使用的bsp是rt thread stm32中的stm32f407-st-discovery开发板已修改好的delay.c函数(适配HAL库)#include "delay.h"#define SYST...

2019-10-29 21:56:02 1996 1

原创 Keil查看代码运行时间

在要测试的代码前加一个断点,当程序运行到目标行时会停下,然后复位“t1”或“t2”,并在下一行代码前加断点,然后继续运行程序,程序会停在下一行代码前,这个时候“t1”的值就是目标行程序的运行时间。参考资料:https://blog.csdn.net/qlexcel/article/details/56281667 http://www.keil.com/support/m...

2019-10-29 10:43:13 6844

原创 STM32F4中的有关SysTick和延时函数的理解(HAL库)

说到单片机的延时函数,对于很多人来说并不陌生,在F4Cube Hal库中不就是HAL_Delay()函数而已么,但是实际上,简单的HAL_Delay函数的实现原理,并不是那么容易看明白。HAL库中SystemCoreClock的变化过程如下表所示(最终的值取决于在CubeMX中的时钟树配置中的系统时钟SYSCLK)函数【所在文件】 SystemCoreClock SystemIn...

2019-10-28 21:48:20 5022

原创 STM32F4DISCOVERY和STM32F407G-DISC1的差异

最近新购入了ST官方的F4探索者开发板用于调试,在官网上发现这个板子有两个版本,前一个版本叫STM32F4DISCOVERY,后一个版本叫STM32F407G-DISC1。官网提到板子的Demo例程可以从HAL库的Cube开发包(HAL库为目前ST主推的库)和以前的标准固件库的STSWSTM32068包(ST已经不再对这种标准固件库进行维护和更新了)。STM32F407G-DISC1最新的...

2019-10-25 20:46:34 2334

原创 Altium Designer 17在复制原理图元件时出现错误

背景:在设计原理图的时候,对某些元件进行复制的时候,软件会报错,错误信息如下InvalidParameter Exception Occurred In Copy解决方法:如果有安装过Adobe_Premiere_Pro_2019_13.1.2.9_SP_20190425,需要将其删除。该阅读器的虚拟打印机服务会影响AD17的正常工作。(实测可用)...

2019-10-09 15:30:46 4285 2

原创 【编程总结】关于C语言的一些小细节

1.C语言不能够使用基本赋值运算符 “=”为数组赋值,即b=a;这样的赋值是错误的。如果要赋值,可以用for语句为数组的元素逐一赋值2.赋值运算符“=”具有右结合性, min = max = fenshu[0],需要理解成 min = (max = fenshu[0])3.字符常量的类型是int类型,除此之外,还存在显示字符的 char类型void put_chars(int c...

2019-09-12 11:44:53 446

原创 嵌入式Linux开发相关概念总结

嵌入式Linux 移植要点:1.搭建交叉编译环境2.bootloader的选择与移植(uboot)3.kernel的配置、编译、移植、调试4.制作根文件系统1.搭建交叉编译环境什么是交叉编译:(Kernel、Uboot、文件系统、用户app)代码在PC上开发、编译、链接出的可执行二进制文件下载(网络等多种方式)到开发板运行。为什么需要交叉编译:嵌入式系统资源有限,没...

2019-08-21 10:34:51 174

原创 rt-thread 3.1.2中drv_xxx.c文件被env错误添加到Keil的原因

背景在进行无线网络模块配置的时候,出现了drv_eth.c被错误添加到Keil工作栏中。解决方法:libraries\HAL_Drivers中的SConscript文件依赖设置bug,已经在3.1.3版本的rt-thread中修复了。参考连接:https://github.com/RT-Thread/rt-thread/commits/master/bsp/stm32/librari...

2019-08-19 15:44:14 453

原创 C++ Builder 10 安装GLScene库

背景:在老版本的Borland C++ Builder 6.0中有一个名为 TOpenGL的组件,可以进行3D图形显示与绘制的功能。但是在新版本的C++ Builder 10(当前最新版本为10.3.2,我使用的是10.2.3)中,该组件并没有做适配,因为实在是太老了 适合BCB6.0的OpenGL组件下载地址:http://www.hellix.com/Alan/Computing/本文...

2019-08-15 16:00:46 1362 2

原创 C++ Builder 10代码补全失效

1.编程时遇到 Ctrl + Space 组合键无法进行 Object 对象名称无法出现选择框的情况 方法:这是因为当前输入法为中文,改为全英文输入法即可2. if , while for 等语句无法补全 方法:在关键字后面按下 Space ,即可自动完成补全...

2019-08-05 20:45:23 1446 2

原创 RW007_WiFi模块(SPI/UART模式)在STM32F407上的使用经验

RW007模块官方文档:https://www.rt-thread.org/document/site/application-note/packages/rw007_module_using/an0034-rw007-module-using/软件包:https://github.com/RT-Thread-packages/rw007SPI模式(基于rt-thread-...

2019-07-24 15:05:49 3618

原创 关于IAP程序中函数跳转以及堆栈合法性判断的理解

相关文章:STM32F407+Lwip TFTP客户端IAP升级教程在上文中制作了IAP过程中的BootLoader,有如下代码if (((*(__IO uint32_t*)USER_FLASH_FIRST_PAGE_ADDRESS) & 0x2FFE0000 ) == 0x20000000)上面这句话的意思是把用户代码的首地址里面的数据拿出来,看看是不是以0x2000...

2019-07-11 11:06:18 1569 2

原创 基于STM32F407的STM32通用BootLoader使用经验

背景: 在使用RT-Thread RTOS的时候发现官方提出了一种通用的BootLoader方案,支持F1和F4系列的芯片 硬件资源: 正点原子stm32f407zgt6探索者开发板,片上Flash(ROM)大小为1024KB,RAM大小为192KB,板载一个SPI Flash W25Q128 BootLoader概念BootLoader是硬件启动的引导程序,是运行操作系统的前提...

2019-07-10 18:45:24 10097

原创 联盛德WMIOT602(基于W600 SoC)WiFi模块使用心得

WMIOT602 是基于嵌入式 Wi-Fi SoC 芯片 W600 设计的 Wi-Fi 参考设计模块,支持SPI/UART官方信息:http://www.winnermicro.com/html/1/162/163/513.html模块信息:注意:UART0(右图的TX0,RX0)是调试接口,出厂配置的模块仅可以通过该接口烧录固件。前期准备:拿到这个模块后,需要在万用板上把...

2019-06-28 21:26:50 4890

原创 原版LwIP和RT-Thread中LwIP的对应关系

所比较的LwIP版本为2.0.2原版(ethernetif.c) RT-Thread中LwIP 功能 low_level_init rt_stm32_eth_init (drv_eth.c) 调用以太网驱动函数,初始化 STM32F4xx以太网外设 low_level_output rt_stm32_eth_tx (drv_eth.c...

2019-06-14 20:02:18 2354

原创 Keil中使用Debug (printf) Viewer显示串口信息代替物理串口

背景在STM32开发中,可能会遇到某些情况下物理串口不够或者板卡上没有USB转串口模块。这时候可以利用Keil自带的Debug (printf) Viewer功能完成物理串口的功能。配置完成后的功能如下图所示:配置方法本人使用的是正点原子STM32F5407探索者开发板,demo使用hal库,完成led闪烁,小数显示。点击Keil工具栏的 Manage Run-Time Env...

2019-06-11 19:21:35 15835 1

原创 STM32F4XX定时器时基、数字滤波器配置

在学习hal库的时候遇到定时器配置的问题,现将定时器配置的方法以及相关公式进行总结本文主要完成的功能:配置TIM外设以使用相应的中断请求生成一秒的时基通用定时器TIM2-TIM5,TIM9-TIM14挂在于APB1总线,下面基于STM32F407zg的TIM3为例进行说明STM32F407zg的时钟树如下图所示APB1的预分频系数(APB1 Prescaler)为 4(不为1)...

2019-06-06 11:11:35 1947

原创 pyinstaller 出现Cannot find existing PyQt5 plugin directories

背景Anaconda3python3.6.5pyqt5.11.3PyCharm现象使用pyinstaller命令生成exe出现错误(图片来自网络,path check可能是c:/qt64/......)解决方法在自己的pyqt5的python虚拟环境中的输入 pip install PyQt5,将会删除老版本的pyqt5,安装最新版在cmd中输入pip insta...

2019-06-01 16:55:59 1431

原创 QT Designer布局方法之栅格布局

背景利用PyqtGraph模块在窗体中画图,要满足图象随着窗体的放大而变化,如下图所示。方案利用QT Designer在布局中的tabWidget部件使用栅格布局即可参考资料https://doc.qt.io/qt-5/qtwidgets-index.html https://zmister.com/archives/187.html...

2019-05-23 17:16:34 4878

原创 RTThread_V4.0+中SignalLed软件包使用方法

env配置在bsp的env钟开启SignalLed软件包RT-Thread online packages peripheral libraries and drivers ---> [*] SignalLed:a signal led package for rt-thread本文背景软件包作者在以下链接中提供了一个例子https://github....

2019-05-20 10:41:33 1447

转载 嵌入式开发中的电平讲究

电气特性通信标准 低电平标准(发送端) 5V TTL 逻辑1:2.4V~5V 逻辑0:0V~0.5V RS-232 (负逻辑,电平较高为了满足长距离传输) 逻辑1:-15V~-3V 逻辑0:+3V~+15V ...

2019-05-11 11:35:01 400

原创 PyQt5中qss属性border-radius不起作用的原因分析以及解决方法

现象在做一个pyqt5界面美化的时候,明明针对QPushButton进行了border-radius属性的配置,但是相关的button只有颜色变了,但是形状没变相关文件:record.ui,record.py,call_record.py用Qt Desinger绘制的ui,如下图所示record.py(已由record.ui转化)# -*- coding: utf-8 -*...

2019-04-27 17:52:15 5858

原创 基于正点原子F407的RT-Thread的FAL分区配置步骤

Fal配置(片内flash+外部flash_W25Q128) 开启BSP片上 Flash驱动(STM32F407-atk-exploer-Hal) 1.开启FAL工具包每个功能的配置说明如下:开启调试日志输出(默认开启); 分区表是否在fal_cfg.h中定义(默认开启)。如果关闭此选项,fal 将会自动去指定 Flash 的指定位置去检索并装载分区表,具体配置...

2019-04-24 19:37:44 5010

原创 RT-Thread中正点原子探索者F407-Bsp-Hal库配置uart引脚步骤

uart引脚设置drv_usart->rt_hw_usart_init->uart_obj[i].config = &uart_config[i];uart_config中包含了UART1_CONFIG#define UART1_CONFIG \ { ...

2019-03-22 10:24:01 1123

原创 rt-thread中基于开启设备驱动和未开启设备驱动下的rt_kprintf()函数的分析

/*** rtconfig.h ***//* Kernel Device Object */#define RT_USING_DEVICE //开启设备驱动的定义#define RT_USING_CONSOLE //开启shell命令输出功能#define RT_CONSOLEBUF_SIZE 128#define RT_CONSOLE_DEVICE_NAME "uart1" /...

2019-02-16 21:56:44 2878

原创 rt-thread中rt_thread_delay出现卡死的原因分析

现象:线程调用rt_thread_delay()会导致进入idle线程然后卡住的问题么,我看rt_thread_delay()似乎有这样  https://www.rt-thread.org/qa/forum.php?mod=viewthread&tid=5274&highlight=rt\_thread\_delay使线程睡眠原理在实际应用中,我们有时需要让运行的当前...

2019-02-16 11:59:57 10470

转载 在rt-thread中使用串口DMA模式的思考

DMA的定义直接存储器存取(Direct Memory Access,DMA)是计算机科学中的一种内存访问技术。它允许某些电脑内部的硬体子系统(电脑外设),可以独立地直接读写系统存储器,而不需绕道 CPU。在同等程度的CPU负担下,DMA是一种快速的数据传送方式。它允许不同速度的硬件装置来沟通,而不需要依于 CPU的大量中断请求。DMA有什么用?直接存储器存取用来提供在外设和存储器之间...

2019-02-15 15:19:29 3640

原创 pyqt点击右上角关闭界面但子线程仍在运行

现象:通过右上角的叉关闭图形界面后,程序运行的子线程却不会被自动关闭,依然留存在系统中原因:子线程没有正确关闭解决方法:1.将子线程设置成守护线程self.your_thread = threading.Thread(target=self.tcp_client_concurrency)# 设置线程为守护线程,防止退出主线程时,子线程仍在运行self.your_threa...

2019-01-11 11:20:07 6560 1

succBAK_HTTP_IAP.zip

正点原子f407开发板http固件升级,hal库版本 https://blog.csdn.net/wbdxz/article/details/82859237

2020-11-11

LWIP_MQTT_Succeed.7z

适配正点原子探索者F407开发板,LwIP版本2.1.0,MQTT实现。 参考:https://blog.csdn.net/wbdxz/article/details/83685753

2020-03-11

GLScene_v1.8_for_RX_10.3_Rio.7z

GLScene是一个基于OpenGL的Delphi,C ++ Builder和Lazarus的3D库。它提供可视组件和对象,允许在图形应用程序中描述和渲染3D场景

2019-08-15

UART_Printf.7z

在STM32开发中,可能会遇到某些情况下物理串口不够或者板卡上没有USB转串口模块。这时候可以利用Keil自带的Debug (printf) Viewer功能完成物理串口的功能。

2019-06-11

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除