自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

网布的世界

共同学习,共同进步

  • 博客(20)
  • 资源 (4)
  • 收藏
  • 关注

原创 C#从网站爬取小说

学习使用c#制作一个windows小程序在小说网站爬取小说。对于一些网络小说想要下下来却找不到下载的地方,只要能在线浏览的基本都可以通过分析后台的html来获取到内容。程序还有很多需要优化的地方,文章目的只是一个c#编程的练习,起到抛砖引玉的作用。

2024-04-12 17:50:44 823 2

原创 STM32F 驱动WS2812B (3) SPI+DMA

原理说明在《STM32F 驱动WS2812B (1) IO口》已经说明实现逻辑1跟逻辑0的脉冲将数据通过DMA发送到SPI外设,发送前面80us的低电平RESET信号以及每个灯珠的24个逻辑0或者1的脉冲。芯片使用STM32F107VC理清思路,上一期通过TIM方式驱动灯组的时候说过,对于灯带的逻辑1就是一个高电平800ns低电平450ns的脉冲,逻辑0就是一个高电平400ns低电平850ns的脉冲。脉冲周期为1250ns。所以理论上通过SPI的方式可以产生这两种脉冲就可以实现控制灯带。...

2022-06-15 14:05:51 6234 2

原创 STM32F 驱动WS2812B (2) PWM+DMA

STM32F412通过TIM PWM DMA方式驱动WS2812B一、基本思路STEP1:STEP2:二、实现1.配置CUBEMX2.配置DMA3.配置GPIO口4.修改工程三、验证1.抓取0 code波形2.抓取1 code波形3.验证发送脉冲个数以及时间一些想法部分关键代码一、基本思路原理说明在《STM32F 驱动WS2812B (1) IO口》已经说明STEP1:实现逻辑1跟逻辑0的脉冲,这里用PWM修改占空比方式实现。STEP2:将数据通过DMA发送到TIM外设,发送前面80us的低电

2021-04-29 15:19:40 3960 1

原创 STM32F 驱动WS2812B (1) IO口

STM32F107通过IO翻转驱动WS2812BLED灯组基本原理基本思路代码实现总结关键源码基本原理根据WS2812B的datasheet,这个灯组的控制方式是单IO控制,以高电平的时间不同来判定当前的数据是“1”还是“0”。如下图所示:从图中可以看出0 code对应一个高电平400ns低电平850ns的脉冲,而1 code对应一个高电平800ns,低电平450ns的脉冲,无论0 code还是1 code的脉冲周期都是1250ns。还有一个reset电平是一个大于50us的低电平表示reset

2021-04-29 15:19:13 2849 2

原创 ZYNQ-Linux学习笔记(8)- Petalinux 2018.2 编译移植Marvell8801wifi内核模块

前言petalinux2018.2用的内核版本是4.14.0marvell8801给的驱动所依赖的内核版本是3.10.11由于内核的API在这两个版本上是有区别的,所以需要修改,其次还需要修改makefile。目录结构sd8801的驱动目录如下D:\DOWNLOADS\SD-UAPSTA-8801-FC18-MMC-14.85.36.P101-C3X14160_B0-GPL-RELEASE│ SD-UAPSTA-8801-FC18-MMC-14.85.36.p101-C3X14160_B

2020-08-04 20:34:30 1269

原创 ZYNQ-Linux学习笔记(7)-Ubuntu下SDK编写linux应用程序 Petalinux 2018.2

前言之前一篇说明了在windows下编写应用程序的方法,在ubuntu下使用Xilinx SDK编写应用程序还是比较方便的,主要有以下几个步骤。前提:安装好petalinux2018.2准备工作1.安装Xilinx SDK 2018.2 Linux版本解压缩sdk文件夹,得到如图所示文件夹内容:在文件夹下运行终端,在终端中输入./xsetup,进入到安装界面如下图所示进行勾选安装即可安装完成后在桌面会有SDK的图标2.生成可用的sysroot文件夹在petalinux工程的目录

2020-08-04 13:04:02 1996 1

原创 STM32 HAL库CAN同时发送超过3条推荐方法

STM32 HAL库CAN同时发送超过3条推荐方法前言方法1方法2方法3(推荐)前言在STM32的HAL库中使用CAN发送使用的是HAL_CAN_AddTxMessage,在这个函数里面发送的时候会先去判断3个发送的fifo是否是满的,如果都是满的,就会直接跳出了,所以如果程序中是连续发送若干条can消息的话,如果超过3条就会出现只能发送3条的状况,想要解决这种问题有几个方法方法1通过在H...

2020-04-23 15:56:31 7901 8

原创 ZYNQ-Linux学习笔记(6)-Windows下SDK编写linux应用程序 Petalinux 2018.2

前言继上一篇博客已经很长时间没更新了,这段时间空下来可以在继续跟大家共同学习共同进步了,在这之间的时间有很多朋友关注着,也加了我QQ问了一些问题,我最近也会把大家问的问题收集下来,然后做个集锦,相信大家第一次搞这个肯定会碰到一些问题。这篇博客主要来说一下,在windows下面怎么编写,编译linux代码,我像很多人也习惯操作windows下的SDK软件,其实不论linux下的sdk或者是win...

2020-02-21 13:25:22 2581 2

原创 ZYNQ-Linux学习笔记(5)-Linux应用程序-前言 Petalinux 2018.2

前言应用程序的编写,主要有两种方法。可以通过在终端中petalinux-create新建一个app,然后在里面编写,最后通过petalinux-build进行编译,但是这样会造成时间很长,并且调试起来会比较麻烦。这种方式适合编译一些比较小的app并且希望加入到文件系统里面的情况。在调试的阶段我推荐用下面的一种方式来进行开发应用程序,就是通过SDK来开发应用程序。这种开发方式的前提是可以通过网络远...

2019-02-14 13:22:14 3002 4

原创 ZYNQ-Linux学习笔记(4)-修改使用emmc加载内核 Petalinux 2018.2

前言板子上使用的是8G的emmc连接到sd1,首先要对emmc格式化,然后在修改petalinux kernel的保存位置,之后在生成boot.bin然后修改环境变量就可以了。Step1: 格式化emmc查看是否识别到当前的emmc,可以看到mmcblk1说明识别到了emmcls /dev格式化emmcmkdosfs -F 32 /dev/mmcblk1查看所有磁盘的状态fdi...

2019-01-02 16:10:59 3440 1

原创 ZYNQ-Linux学习笔记(3)-导入硬件,配置,编译,生成,烧录 Petalinux 2018.2

ZYNQ-Linux学习笔记(3)-导入硬件,配置,编译,生成,烧录 Petalinux 2018.2Petalinux 2018.2安装Step1:下载文件Step2:安装依赖包Step3:安装petalinux导入硬件配置Step1:新建vivado工程Step2:生成fsblStep3:新建petalinux工程配置并编译Step1:外设选择Step2:选择boot存储位置Step3:选择k...

2019-01-02 15:56:48 2875 6

原创 ZYNQ-Linux学习笔记(2)-在Xilinx SDK中建立Linux应用程序

写在前面: 注意:在前篇中所用的工具都是2015.2,从这篇开始将使用2017.4进行操作。 安装环境:win7,win10,Xilinx SDK 2017.4,Xilinx Vivado 2017.4 虚拟机:Ubuntu16.04,petalinux 2017.4建立Petalinux工程在安装好petalinux2017.4的Ubuntu中(具体安装方法请参考上一篇),新...

2018-07-24 17:33:33 8449 2

原创 ZYNQ-Linux学习笔记(1续)-microzed在VIVADO中的配置

上次一篇文章中间说到的vivado的详细配置,在下面列出来: 相关的文件可以再这里下载:链接:https://pan.baidu.com/s/1dospsRArIlMv-h3LcovNwA 密码:yqsj 有问题可以留言或者发邮件到[email protected]...

2018-05-28 09:43:17 1078

原创 xilinx zynq 7000 FSBL启动分析(二)

前言在上一次分析中,分析到了HandoffAddress = LoadBootImage();在分析这个函数之前,在从0地址运行之前,在复位(上电复位)之后会从bootROM这个位置开始执行代码,在bootROM中,程序会将QSPI(如果是从qspi启动的话)的前nK的数据拷贝到OCM中,然后跳转到OCM中执行。把生成的BOOT.bin文件用二进制程序打开,根据数据手册可以分析一下...

2018-04-24 16:30:38 5421 2

原创 xilinx zynq 7000 FSBL启动分析(一)

花了几天看完了FSBL的代码,在这里做个总结,分析一下zynq的启动过程。众所周知,xilinx zynq 7000系列的芯片中包括两个部分,PS和PL,也就是FPGA的逻辑编程的部分跟嵌入式ARM的部分,ARM部分是双核的A9处理器。关于FPGA的部分在这里就不说了。其实说的简单点,可以吧这个芯片看成一个带有FPGA外设的ARM处理器,下面详细分析一下启动流程。所有的芯片都是...

2018-04-19 10:00:53 9634 1

原创 ZYNQ-Linux学习笔记(1)-从零开始在MicroZed7020上使用PETALIUX tool工具创建Linux

前言实验平台安装依赖包安装PetaLinux修改dash->bash(如果需要)安装PetaLinux 2015.2通过VIVADO创建硬件平台新建工程配置芯片以及外设PetaLinux新建工程导入硬件配置配置内核,根文件系统编译以及启动总结前言经过很多天的摸索尝试各种方法,最后在自己的脑海中有了一个大体的...

2018-04-18 12:05:13 2858 2

原创 ZYNQ学习笔记

在ubantu下安装交叉编译器arm-xilinx-linux-gnueabi-gcc 方法git clone https://github.com/xupsh/CodeSourcery.gitecho "export PATH=~/CodeSourcery/bin:$PATH">>~/.bashrcecho "wxport CROSS_COMPILE=arm-xilinx-linux

2016-03-24 14:27:36 749

原创 痛苦的挣扎--msp430g2553我恨你!

已经3天了,3天每天晚上都调程序调到很晚,写这篇博客就是用来见证自己有没有焕然大悟的一天。事实证明不要过于自信,不要太看得起自己,自认为懂一点单片机的知识,自认为自己有些项目经验。面对一个简单的SPI,自认为自己能轻松解决。但是我错了,原本信心满满的开始自己的一个小小的实验,但是中间出现了许多问题,无论怎样的调试就是出不来结果。事情是这样开始的,因为一个项目,需要3轴加速度传感器,翻了下工

2015-03-18 17:57:03 2820 3

原创 小白的ARDUINO日志二--时钟

今天我们说一下单片机的灵魂----时钟单片机的时钟就像我们人类的心脏一样,不停地跳动才得以工作。在编写程序之前,一定要先了解时钟,没有时钟整个程序是运行不了的。那么这里小白就有个疑问。怎么去了解它,知道时钟的哪些东西才能更好的去编写程序呢?我们学习时钟,分三个部分,输入、输出跟控制过程。输入即时钟的输入源,有哪些,比如晶振、RC振荡器等等。输出即这些个时钟最终是让位处理器的哪些部分正常的工

2014-05-21 06:44:37 1954

原创 小白的ARDUINO日志

今天是5月19日,星期一(win7 64位INF中的服务安装段落无效解决方法)把遗忘很久的AEDUINO的板子拿出来研究研究,遂写下这个开篇报告,以后也许会长期更新下去。在家里翻了好久终于在角落里找到了这块板子,之前买来并没有好好利用,这次就拿这个板子从头学起,现在几乎什么都忘光了,变成菜鸟了,如果有地方说的不对请及时纠正。为什么要去搞这个ARDUINO呢?一来因为我比较喜欢这种简单的

2014-05-19 20:16:20 1293 1

C#获取小说网站内容VS2022工程

C#获取小说网站内容VS2022工程

2024-04-12

STM32F 驱动WS2812B (3) SPI+DMA

芯片使用STM32F107VC 理清思路,上一期通过TIM方式驱动灯组的时候说过,对于灯带的逻辑1就是一个高电平800ns低电平450ns的脉冲,逻辑0就是一个高电平400ns低电平850ns的脉冲。脉冲周期为1250ns。所以理论上通过SPI的方式可以产生这两种脉冲就可以实现控制灯带。

2022-06-15

SD-UAPSTA-8801-FC18-MMC-14.85.36.p101-C3X14160_B0-GPL-Release.zip

mavell 8801驱动 SD-UAPSTA-8801-FC18-MMC-14.85.36,驱动支持3.10-4.2 linux内核

2020-08-11

Terminal Assistant V2.0.0.15 周立功液晶屏终端助手

周立功液晶屏助手,试用于ZTM系列液晶屏,终端助手。还包括终端用户开发手册以及智能串口显示终端指令集等文档。

2018-05-30

MSP430F149线反转法4x4键盘扫描

自己写的MSP430F149的线反转法的键盘程序,iar编译通过。

2012-11-29

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除