自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(121)
  • 资源 (4)
  • 收藏
  • 关注

原创 芯片验证体系

芯片验证知识体系梳理

2022-05-02 16:02:22 3773 2

原创 AMBA CHI知识分享专题

AMBA CHI专题

2020-01-30 08:22:01 11243 13

原创 SystemVerilog与功能验证

芯片验证与systemverilog

2019-11-25 21:55:25 9292

原创 CHI中observe响应和order响应的区别

本文讲述了Observe响应和Order响应的区别,Requestor可以根据这两者响应得到什么信息。

2024-04-20 15:28:22 941 1

原创 设计模式在芯片验证中的应用——策略

策略模式是一种行为设计模式, 它能让你定义一系列算法, 并将每种算法分别放入独立的类中, 以使算法的对象能够相互替换。

2024-04-15 20:09:36 987

原创 一文读懂Memory consistency model (内存模型)

本文介绍了计算机系统中各种常见的memory model(内存模型)以及优缺点。

2024-04-07 21:15:52 991

原创 一文读懂Cache一致性原理

本文介绍了为什么需要维护cache一致性、一致性协议的本质和类别,以及如何设计一致性协议。

2024-03-26 22:41:59 919

原创 设计模式在芯片验证中的应用——装饰器

装饰器模式(Decorator)是一种结构化软件设计模式,它提供了一种通过向类对象添加行为来修改类对象的方法,而不会影响同一类的其它对象行为。

2024-03-16 18:08:21 1082

原创 设计模式在芯片验证中的应用——责任链

责任链(Chain of Responsibility)是一种软件行为设计模式,它允许一个操作或命令由多个接收者处理。

2024-03-11 20:32:36 852

原创 设计模式在芯片验证中的应用——备忘录

本文介绍备忘录(亦称: 快照、Snapshot、Memento)在验证环境中的使用,来对设计逻辑中实现的特性进行建模。

2024-03-07 20:29:58 976

原创 CPU漏洞之Spectre

Spectre攻击通过欺骗处理器,使其推测性地执行在正确的程序执行下不应该执行的具有可测量副作用的操作,并通过侧通道(Side channel)将受害者的机密信息泄露出去。

2024-03-03 20:13:10 896

原创 CPU漏洞之Meltdown

本文介绍的Meltdown利用现代处理器上乱序执行(Ouf-of-order)的副作用(side effects)来读取任意的内核内存位置,包括个人数据和密码。

2024-02-23 21:15:56 818

原创 Systemverilog inside匹配规则

本文介绍SystemVerilog中inside的匹配规则

2024-02-19 14:08:58 504

原创 Arm AArch64 alignment(对齐)

本文讲述了ARMv8-A AArch64的对齐方式。

2024-01-28 18:52:17 1098

原创 SystemVerilog中数组内置函数sum()的一个注意点

本文介绍SystemVerilog中数组内置函数sum()的一个注意点

2024-01-20 21:49:06 677

原创 Arm LDM和STM的寻址方式

本文介绍LDM和STM几种不同的寻址模式

2024-01-14 20:29:42 490

原创 UVM的guideline

一些使用UVM的建议,提高UVM使用效率。

2024-01-07 11:30:09 937

原创 你真的了解UVM sequence的运行机制吗

本文将介绍sequence里常见的callback方法,以及在不同场景下,它们的是否被调用和调用顺序。

2023-12-31 11:49:18 915

原创 BASH shell脚本篇5——文件处理

这篇博客主要是记录bash shell的文件处理,便于日后复习。

2023-09-29 22:01:11 3199

原创 BASH shell脚本篇4——函数

这篇博客主要是记录bash shell的函数,便于日后复习。

2023-09-29 15:20:35 1992

原创 BASH shell脚本篇3——字符串处理

这篇博客主要是记录bash shell的字符串处理方法,便于日后复习。

2023-09-29 11:33:51 1018

原创 BASH shell脚本篇2——条件命令

这篇博客主要是记录bash shell的条件命令用法,便于日后复习。

2023-09-29 10:42:55 1870

原创 Questasim与Visualizer的livesim仿真

本文介绍如何进行Questasim与Visualizer的联合livesim仿真。

2023-09-24 21:21:19 203

原创 芯片工程师求职题目之CPU篇(4)

本文列出了6道CPU相关的求职题目,这是第4篇。

2023-09-17 09:31:05 402

原创 基于Questasim的SystemVerilog DPI使用流程

本文介绍在Questasim中如何编译和使用Systemverilog的DPI流程。

2023-09-17 07:00:00 399

原创 uvm_sequence_library 中添加sequence类型的两种方式

本文介绍了uvm_sequence_library中添加sequence类型的两种方式。

2023-08-27 11:32:10 230

原创 UVM Heartbeat机制

本文介绍了uvm_heartbeat工作机制,以及如何在UVM环境中使用它。

2023-08-24 21:48:20 326

原创 芯片工程师求职题目之CPU篇(3)

本文列出了6道CPU相关的求职题目,这是第3篇。

2023-08-13 22:16:00 315

原创 芯片工程师求职题目之CPU篇(2)

本文列出了6道CPU相关的求职题目,这是第2篇。

2023-08-05 22:18:38 434

原创 如何写出性能更高的SystemVerilog代码

本文旨在帮助大家降低在编码过程中写出低性能和耗内存的概率。

2023-07-22 12:00:23 483 1

原创 芯片工程师求职题目之验证篇(2)

本文列出了5道芯片验证工程师相关的求职题目,这是验证系列第2篇。

2023-07-08 08:15:00 707

原创 详解SystemVerilog中time slot的调度

本文讲一下SystemVerilog的time slot里的regions以及events的调度,这一块是理解SystemVerilog语言调度机制的重点和难点。

2023-07-05 08:17:05 1097 1

原创 芯片工程师求职题目之验证篇(1)

本文列出了5道芯片验证工程师相关的求职题目,这是第1篇。

2023-07-03 08:00:00 634

原创 UVM环境debug的正确开启方式

本文主要讲述了UVM提供了哪些调试代码的内置方法,可以让用户事半功倍,提升效率。

2023-07-01 17:01:24 1736 2

原创 有趣的UVM_LOG用法

本文讲述了如何使用UVM_LOG功能将自己感兴趣的信息提取到单独的log,并结合Command Line命令,可以实现一种不需要重复编译就可以定向提取各种ID信息的方法。

2023-06-25 08:15:00 717

原创 AMBA AHB的burst termination

本文讲述了AMBA AHB协议中可能发生burst termination的场景。

2023-06-24 12:28:23 879

原创 UVM1.2究竟在UVM1.1上做了哪些升级

本文总结了UVM1.2在UVM1.1上做的主要改动,这些改动对我们会有什么影响,以及如何适配这些改动。

2023-06-22 20:01:30 1757

原创 芯片工程师求职题目之设计基础篇(1)

本文列出了6道芯片设计相关的求职基础题目,这是第1篇。

2023-06-21 21:50:02 836

原创 芯片工程师求职题目之CPU篇(1)

本文列出了5道CPU相关的求职题目,这是第1篇。

2023-06-18 21:01:57 840

原创 UVM中uvm_config_db机制背后的大功臣

本文主要讲述UVM中uvm_config_db机制背后扮演重要角色的uvm_glob_to_re()和uvm_re_match(),并给出1个例子便于大家理解。

2023-06-17 21:59:09 943 1

ARMv9-A学习记录.pdf

在学习ARMv9 AArch64 execution state的时候,从ARM手册里摘取的一些笔记,方便自己以后快速复习。内容包括A64 instruction set, Application/System level programmers' model, Application/System level memory model, Virtual memory system architecture等等。 PS: 这里面没有AArch32和debug的内容。以后有时间再去看看。 参考文献版本号:DDI0487H_a_a-profile_architecture_reference_manual 另外,本资源只是摘取一些general的知识点,并没有涉及很多细节,需要的话,可以看原版1万多页英文手册

2022-06-12

智能小车 包括 巡线 避障 寻光源等功能 完整程序

智能小车 包括 巡线 避障 寻光源等功能 完整程序,可以大量减少去寻找代码的时间

2013-04-21

单片机控制的智能台灯

这智能台灯可以自己控制亮灭,有人的时候会亮。没人的时候会自己暗下来,还附有提示功能啊,功能多多,我搜集了好几个版本啊

2013-03-13

蓝牙与安卓通信

蓝牙模块可以与安卓手机通信,里面是一些从网上搜集到的资料,为了便于大家,就整理了一下,希望能帮助到你们,O(∩_∩)O谢谢

2013-03-13

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除