自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(109)
  • 资源 (19)
  • 收藏
  • 关注

原创 Ocean Optics USB2000光谱仪无法在Win10系统运行

USB2000型光谱仪,由于生产年代过于久远,虽然能被Win10系统识别,但是驱动程序安装完成后依然报错,提示:该设备无法启动。(代码 10) 请求USB BOS 描述符失败。运行SpectraSuite软件无法找到光谱仪设备。

2023-05-18 15:41:53 1680 2

原创 晶飞FLA5000光谱仪.FlaSpec格式解析&批处理导出CSV文件

综上所述,FlaSpec文件格式较为简单,容易使用python等语言进行批量处理,在进行数据采集及后续的数据分析过程中,使用该格式文件只能说是曲线救国了,后续如果继续使用该光谱仪,会将批处理代码实现后放出。初步构想为自动读取文件夹下所有flaspec文件,并根据数据格式进行解析,后写入到一个同csv文件中。

2023-05-16 10:44:42 721

原创 STM32Cube学习(5)——PWM

单片机:STM32F407ZGT6;仿真器:ST Link V2;开发环境:STM32CubeIDE 1.10.1;功能说明:使用单片机定时器产生PWM实现呼吸灯效果。STM32F4数据手册。

2022-09-13 15:06:32 2634

原创 STM32Cube学习(4)——UART串口

单片机:STM32F407ZGT6;仿真器:ST Link V2;开发环境:STM32CubeIDE 1.10.1;功能说明:使用单片机串口进行一次基础收发功能测试。STM32F4数据手册。

2022-09-07 09:58:14 1750

原创 STM32Cube学习(3)——ADC

开发环境:STM32CubeIDE 1.10.1;功能说明:使用单片机内部ADC进行一次最基础的模数转换。

2022-09-05 16:13:19 2277

原创 STM32CubeIDE更新ST LINK驱动失败解决方法

当使用CubeIDE下载程序时,在这里遇到个STM32CubeIDE需要ST Link更新的提示弹出“为了将连接的ST-LINK与此版本的STM32CubeIDE一起使用,需要更新ST-LINK固件”但是使用Cube更新失败的情况,出现如无法读取ST LINK版本固件版本号;更新固件过程中,先出现错误后出现成功提示,但更新未完成等问题。

2022-09-02 08:58:58 6128 3

原创 STM32Cube学习(2)——定时器中断

单片机:STM32F407ZGT6;仿真器:ST Link V2;开发环境:STM32CubeIDE 1.10.1;功能说明:采用定时器中断的方式,实现LED按照定时器频率闪烁。

2022-09-01 17:13:03 2718

原创 LC EDA 学习笔记

关于学习嘉立创 EDA编辑器使用,KiCad生成Gerber等文件内容

2022-09-01 10:26:27 894

原创 STM32Cube学习(1)——点灯&配置

好多年没用STM32了,项目需要又得重新捡起来,这次尝试使用HAL库+STM32CubeIDE进行开发。.单片机:STM32F407ZGT6仿真器:ST Link V2开发环境:STM32CubeIDE 1.10.1。

2022-08-26 16:34:42 1767

原创 Oculus Quest2 踩坑指南

不久前购入一台二手Quest2,网上对设备的配置资料比较杂乱,希望对大家有帮助。

2022-08-16 11:08:08 7129

原创 HLS学习2:使用ARM核点灯

尝试采用ZYNQ 7020的ARM核进行点灯。

2022-08-09 11:22:40 415

原创 HLS学习1:使用FPGA点灯

HLS学习

2022-08-05 17:28:32 508

原创 《FPGA原理与结构》读书笔记(0)-基础知识

1、逻辑电路基础1.1.1、逻辑代数1.1.2 、布尔代数定理1.1.3、真值表真值表和逻辑门。针对逻辑函数所有可能的输入组合一一列出输出值,我们就可以得到真值表。对于组合逻辑电路,只要列出所有可能的输入和对应的输出值,就可以完整地描述电路功能。因此,电路功能通常使用真值表描述。输入的个数为n时,真值表的组合数为2”。真值表中,需要记入每组输入值所对应的输出值。1.1.4、组合逻辑电路逻辑电路分为组合逻辑电路和时序逻辑电路**组合逻辑:**不包含记忆元件、某个时间的输出,取决于当时的

2022-08-04 15:33:21 474

原创 K210控制 LCD

荔枝板卡记得在下载前,点击右侧COM12,然后选择正确端口#include <gpio.h>#include <sleep.h>#include <stdio.h>#include <string.h>#include <unistd.h>#include "kpu.h"#include "lcd.h"uint32_t g_lcd_gram[LCD_X_MAX * LCD_Y_MAX / 2] __attribute__((

2022-03-11 05:16:38 1139 1

原创 N76E003 标定 10Khz低速振荡器

N76E003内部有两个RC振荡器——16M(HIRC)、10K(LIRC)。高速16MHz误差在出厂时校准到±2%(全温度、全电压范围内),而10K低速振荡器,据说是35%的误差,一个小时定时,多出十几分钟就不足为奇了。以下代码源于新唐官方例程,其运行原理大概是同时使用HLRC&LIRC定时器,两者同时开启,LIRC定时固定时间,溢出后查看HIRC计数值,求出差值(时间越短越精确),用...

2022-01-06 21:47:32 496

原创 HLS ERROR: [IMPL 213-28] Failed to generate IP.

ERROR: [IMPL 213-28] Failed to generate IP.command 'ap_source' returned error code

2022-01-04 21:51:42 9213 13

原创 HLS ERROR [XFORM 203-103] partitioned elements number (4096) has exeeded the threshold (1024)

在HLS中综合工程出现如下错误信息,导致综合失败,并无法导出IPERROR: [XFORM 203-103] Array ‘mult.V’ (././nnet_utils/nnet_dense.h:60): partitioned elements number (4096) has exeeded the threshold (1024), which may cause long run-time.”解决方法:首先确认你的软件版本,必须Vivado HLS必须低于或等于2020.1因为2020.

2022-01-03 23:53:08 951 1

原创 VIVADO 报错Linking the ceam library to the veamMap failed

Linking the ceam library to the veamMap failed. Most likely, you need to check your installation or recompile your sandbox.将ceam库链接到veamMap失败。很可能,您需要检查安装或重新编译沙箱这个报错,一般是内存导致的首先Reset一下,而后尽可能关掉其他正在运行的软件(如Chrome),不要动电脑最好,重新生成尝试。参考资料https://support.xilinx

2021-12-17 22:45:53 833

原创 PYNQ框架HLS开发流程备忘

0、设计思路本文目的是为在PYNQ调用HLS所生成的bit文件所写,旨在明晰整个流程和细节,属于个人学习笔记,将随着工作的开展而逐步更新完善,该文章可能不会对您的工作有所帮助,但也希望您能指出文中的错误,谢谢。当前将从AXI_Stream图片sobel实验入手(感谢杨工提供的教程),将整个流程进行细化,以供日后自己的bit文件使用。Tips:0.1、PS与PL通讯根据《The Zynq Book》所提到,PL(可编程逻辑)与PS(处理系统)中采用AXI(Advanced eXtensible In

2021-12-16 10:34:08 1999

原创 PYNQ加载bit报错KeyError: ‘interrupts‘

问题描述在vivado的BD图中,我的IP与PS核之间的中断直接连接在PYNQ中加载vivado生成的bit文件时报错interrupts解决方法:在PS与我们自己的IP中断线连接中,添加一个concat块,如下图所示最终在PYNQ中可以成功加载bit文件...

2021-12-13 12:10:11 435

原创 《FPGA原理与结构》读书笔记(1)

1、先验知识1.1、FPGA的组成如下图片是岛形FPGA的大致组成。如下几种模块,可以分为以下三类。1、输入输出部分: I/O块-其通常包括上拉、下拉、输入/输出的方向核极性、转换速率(slew rate)、开漏等控制电路,商用FPGA还包括LVTTL、PCI、PCIe 、SSTL等。2、布线部分: 包含布线通道、连接块(CB)、开关块(SB)等几个部分组成。布线的主要作用是利用如上几个部分,通过编程实现任意的布线通路。3、其他部分: 逻辑块(LB)、IO块、开关块、连接块全部由配置存储单元控制,

2021-12-09 11:50:04 965

原创 HLS学习练习1——卷积层(Convolution layer)

0、先验知识首先,下图所采用得卷积操作,是对边界补0得情况下得到的,卷积的核心在于乘加操作,关于卷积操作的计算量,可参考此篇文章进行学习下图的计算量为:输入通道数x输出通道数x卷积核大小x输出图像的长x输出图像的宽即:RCKKCHout*CHin1、设置流程在综合前,需要先进行以下流程的设置project——》project setting——》synthesis——》Top Function选择对应的函数C synthesis2、卷积的软件实现优化前的solution1#def

2021-11-22 10:44:11 1521

原创 Xilinx HLS 学习笔记3(for循环优化)

for循环优化1、基本概念与for循环相关的基本概念Pipelining的for循环for循环的展开for循环的循环变量的数据类型,是否对综合后结果的资源有所影响Performance Metrics(衡量指标)优化方式:采用pipeline采用Pipeline前后对比我们可以发现,在不采用流水线的时候是过程化运行(有时间的先后顺序进行);当采用流水线后,当在读数据的时候,下一个Fou循环就开始读地址,会有并行(椭圆标记的位置)的效果for 循环展开(空间换时间)默认情况下,

2021-11-20 11:55:52 1184

原创 Xilinx HLS 学习笔记4(总结与案例分析)

可参考ug902进行学习1、知识点总结1.1、改善吞吐率提高并行度实现pipeline 作用于函数和循环的区别2、改善面积3、案例分析未优化前对循环采用pipeline和unroll进行优化由于interval未变成1,还可以继续进行优化。对主函数进行pipeline结果对比4、指标分析与概念介绍Latency:表示第一个输入到第一个输出之间的延迟,表征的是单次处理时间。interval:表达第一个输入,到第二个输入之间的“间隔”,表征的是吞吐能力。所以我们在

2021-11-17 11:24:59 456

原创 Xilinx HLS 学习笔记3(函数优化方法)

1、函数层面的优化1.1、定义任意精度变量在C的数据类型通常是以8为边界,而实际中我们会遇到任意精度类型的问题,那么我们一定要定义为任意精度。1.2、inline去除函数的层次化,可以改善资源。不再需要调用函数的相关逻辑,对于小函数HLS会自动Inline,如果我们不希望,可以通过directive中的 -off这个选型来实现关掉inline,资源开销会变大。1.3、Allocation定义了函数与相应RTL model之间的关系,ALLOCATION使副本并行运行,从而减少延迟性,配置

2021-11-16 20:11:00 541

原创 Xilinx HLS 学习笔记2(数组优化)

11、数组优化0、先验知识单端口RAM(Single-Port RAM)1、数组分割我们可以通过resource directive 来设定看,数组采用怎么样的memory(如双端口、单端口)来实现,如果没有明确告知,HLS编译器会自行决定采用双端口还是双端口,数组最终会以Memory形式出现(RAM、ROM或者FIFO),如果是顶层函数中的形参就会以相应Memory的接口呈现,包括读写地址、使能以及数据;如果数组是在内部,就会综合成内部的block RAM、LUTRAM、registers,这取决

2021-11-15 21:40:40 1488

原创 DPU-PYNQ使用笔记

Ubuntu端查看模型的结构可以使用Ubuntu中的Netron软件查看模型结构(Summary)量化(quantizing)官方给出了量化的工作流程图,输入模型为浮点模型,其中预处理环节主要工作为折叠和删除无用节点,然后将模型的权重/偏差与激活 (weights/biases and activations)量化到给定的位宽。To capture activation statistics and improve the accuracy of quantized models, the V

2021-11-14 20:46:42 3985 3

原创 Xilinx HLS 学习笔记1

本笔记根据《跟Xilinx SAE 学HLS系列视频讲座-高亚军》课程进行学习,仅作为本人学习笔记使用,暂不公开。0、先验知识软件可编程芯片主要分为几大类:CPU:管理和调度DSP:算法上加强,做一些递归算法DPU:高度并行,适合大数据的流处理在面对大量计算时,有两种方法:1、提高主频,即提高CPU的时钟频率,但提升有限2、增加运算核心,以此提高并行度但会出现功耗的问题FPGA1、内部包含大量的乘法单元2、SoC,将ARM融合3、与CPU相比,功耗更优下图:传统FPGA资源:可

2021-11-09 11:44:04 1005

原创 N76E003 之 定时器0使用

程序说明,定时器0按工作模式1工作,初值为10ms。#include "N76E003.h"#include "SFR_Macro.h"#include "Function_define.h"#include "Common.h"#include "Delay.h"UINT8 u8TH0_Tmp,u8TL0_Tmp;//初始化配置函数void Init_Timer0(void){ CKDIV=0X0C; TIMER0_MODE1_ENABLE;

2021-10-24 16:26:09 3041 2

原创 ENVI 高光谱数据处理记录

1、查看文件波段菜单栏——》Data Manager——》勾选对应波段——》加载该波段2、查看光谱曲线(单点)Alt+Z(ROI)点击ROI图标——》新建ROI——》圈选完成——》计算统计——》出现曲线

2021-05-16 09:18:32 5931 7

原创 【百度飞桨Paddle】11类食品分类项目

项目描述训练一个简单的卷积神经网络,实现食物图片的分类。数据集介绍本次使用的数据集为food-11数据集,共有11类Bread, Dairy product, Dessert, Egg, Fried food, Meat, Noodles/Pasta, Rice, Seafood, Soup, and Vegetable/Fruit.(面包,乳制品,甜点,鸡蛋,油炸食品,肉类,面条/意大利面,米饭,海鲜,汤,蔬菜/水果)Training set: 9866张Validation set: 34

2021-04-21 12:28:51 2704 3

原创 【百度飞桨Paddle】迁移学习项目分享【acc:0.79】

项目描述本作业的任务是迁移学习中的领域对抗性训练(Domain Adversarial Training)。也就是左下角的那一块。Domain Adaptation是让模型可以在训练时只需要 A dataset label,不需要 B dataset label 的情况下提高 B dataset 的准确率。 (A dataset & task 接近 B dataset & task)也就是给定真实图片 & 标签以及大量的手绘图片,请设计一种方法使得模型可以预测出手绘图片的

2021-04-21 12:18:34 1106

原创 深度学习——mAP 计算

0、引言本文仅作为学习mAP相关知识点的记录,主要参考博文为Bubbliiiing大佬所创作的以及百度飞桨相关在线课程,在此表示感谢睿智的目标检测20——利用mAP计算目标检测精确度1、IoU(交并比)在中学数学中,我们学习了交集、并集,而在深度学习中,使用交并的概念设计了交并比IoU用以评估预测质量,简单来说就是计算预测框与标注框的交集面积、并集面积,当IoU值越大时,则表明预测结果与标注结果越接近。公式如下图所示:2、Precision(准确率)T是True;F是False;P是

2021-01-03 22:04:36 3381

原创 Python语法速查3

Python语法速查1Python语法速查2引言本文仅作为学习python记录之用,如有错误,还望指出,谢谢。主要参考书籍为《python从入门到实践》编译器采用百度飞桨提供的在线编译平台及本地所搭建的Pycharm环境10、模块首先需要说明的是,python 所使用的模块,与C/C++所采用的.C .H文件类似,主要作用是为了将繁杂的工程化繁为简,以多个独立模块组合的方式进行框架搭建。模块:类似 .py,.pyc, .pyd ,.so,*.dll 这样的文件,是 Python 代码载

2021-01-02 21:22:06 166

原创 Tensorflow 保存HDF5模型,并转换为tflite格式

0、引言由于nnc模型量化工具对tflite格式支持较好,同时还支持.caffemodel与.onnx格式(未测),故需在电脑上将训练完成的模型转换为tflite格式后再进行量化,生成kmodel格式模型供K210单片机使用。有关ncc_0.2相关使用,可以见该篇文章(留坑),或详见GitHub网页1、保存HDF5模型以下代码为HDF5手写数字识别的代码,模型采用全连接层构成,使用relu为激活函数,使用“Dropout”和“提前停止”两种方法防止模型过拟合,同时增加模型泛化能力。需要注意的是,由

2020-12-13 20:13:47 2601 1

原创 N76E003控制双路步进电机(开环)

0、引言实验材料类目型号MCUN76E003步进电机42步进步进电机驱动器设置800细分电源24VTTLCH3401、程序逻辑1.1、程序采用PWM中断,实现对PWM脉冲计数,由此实现开环控制步进电机,设定为下降沿触发。1.2、步进电机驱动器提供EN、Pulse、DIR、COM四个接口,其中COM接单片机的VCC,EN用于控制电机使能,DIR用于电机换向,Pulse为输入脉冲。1.3、根据上述说明,单片机PWM持续产生脉冲,通过EN控制步

2020-12-10 17:15:05 932 4

原创 K210学习记录(3)——kmodel生成与使用

0、引言该博客仅作为学习K210单片机KPU跑目标检测模型记录,本人新人小白,本文旨在备忘,如有错误,还望指出,谢谢。1、模型转换1.1、首先需要下载NNCase工具箱,各版本下载地址1.2、有关nnc的使用,在Windows下首先在运行中,输入CMD,打开命令行窗口1.3、使用cd命令,到nnc的根目录下1.4、后使用nnc相关命令行进行操作,命令行说明可以参考在将yolo.tflite模型放到ncc根目录下后,使用以下命令进行转换ncc yolo.tflite yolo.kmodel -

2020-12-03 20:55:26 23360 37

原创 Python语法速查2

前一篇:Python语法速查18、数据类型8.1、字符串在python中,可以使用引号( ’ 或 " )来创建字符串Python 不支持单字符类型,单字符在 Python 中也是作为一个字符串使用。字符串截取var1 = 'Hello World!'var2 = "Runoob" print ("var1[0]: ", var1[0])print ("var2[1:5]: ", var2[1:5])输出结果var1[0]: Hvar2[1:5]: unoo字符串更新va

2020-11-20 21:57:32 284

原创 Python语法速查1

0、引言本文仅作为学习python记录之用,如有错误,还望指出,谢谢。主要参考书籍为《python从入门到实践》编译器采用百度飞桨提供的在线编译平台及本地所搭建的Pycharm环境1、条件控制在python的语法中,采用elif代替else if,所以条件控制的关键字为if、elif、else。python使用”缩进“的方式替代原本的大括号,条件语句的末尾,必须使用”:“,此外C/C++在条件语句中使用的小括号可以省略与或非运算符改为关键词的方式进行,如下表num = 5if (nu

2020-11-12 15:58:07 648 1

原创 TensorFlow知识点备忘3(卷积与池化)

0、引言PS:本人初学,技术菜的抠脚,本博客仅对学习过程中遇到的知识点进行总结,如有错误,还望指出,谢谢。1、卷积2、池化3、参考资料

2020-11-02 01:44:53 1455

HLS_Matrix.rar

用于对应博客文章生成IP 使用,

2021-12-16

IoT S300E传感器数据手册(英文).rar

二氧化碳传感器 IoT S300E传感器数据手册,其中包含S300E两个型号的数据手册(英文),以及简要说明(中文)。

2021-03-23

N76E003控制双路步进电机(开环)代码工程

1.1、程序采用PWM中断,实现对PWM脉冲计数,由此实现开环控制步进电机,设定为下降沿触发。 1.2、步进电机驱动器提供EN、Pulse、DIR、COM四个接口,其中COM接单片机的VCC,EN用于控制电机使能,DIR用于电机换向,Pulse为输入脉冲。 1.3、根据上述说明,单片机PWM持续产生脉冲,通过EN控制步进电机是否使能。详见博客

2020-12-11

K210单片机 20类分类模型及实现代码

该工程用于实现使用ncc工具箱对.tflite模型进行转换,得到的kmodel模型在K210单片机中实现20类物体分类任务。硬件:Sipeed Maix Dock开发板;软件:MaixPy IDE,NNCase Converter v0.1.0 RC5(模型转换工具),20类yolo模型.tflite,及使用说明

2020-12-04

技术经济学计算器源文件.rar

文件为Qt工程文件,由C++编写,详细内容见我的博客。

2020-05-02

技术经济学计算器.rar

该程序由本人使用QT开发,语言为C++,目前版本能计算已知P求F,已知F求P,已知A求F,已知F求A,已知P求A,已知A求P等功能。可以一定程度上减轻按计算器的工作量

2020-05-02

QT计算器全部工程文件打包

本工程使用QT Creator创建,根据吴健老师的QT视频教程学习模仿编写而成,新手入门,难免有不足和错误,仅作学习备忘,还望海涵。

2020-03-30

逻辑充电器(电路、程序).rar

程序使用Keil创建,内含电路图、工程代码、风扇支架等,详情请看我博客

2019-08-20

N76E003 驱动 ST7567.rar

工程由keil创建,注释清晰。压缩包内包含驱动电路、数据手册、程序工程等。详见我的博客

2019-07-10

UC1705数据手册

液晶屏UC1705数据手册,全英文,图文并茂,不难理解液晶屏UC1705数据手册,

2019-03-12

N76E003 夏普GP2Y1010(电路、程序工程、数据手册)

工程由keil4创建,内含电路图、代码、数据手册,代码注释清晰,简单易懂,使用N76E003单片机带隙电压进行标定,保证读取电压准确性。如有不清晰的地方,推荐看我的博客

2019-02-02

T5577卡数据手册

T5577无源非接触ID卡数据的手册,对于用来复制EM4100有较大帮助,T5577无源非接触ID卡数据的手册,对于用来复制EM4100有较大帮助T5577无源非接触ID卡数据的手册,对于用来复制EM4100有较大帮助

2018-10-04

EM4100数据手册(英文)

无源非接触式ID卡EM4100的英文数据手册,非扫描版,内容简洁明了

2018-10-04

N76E003 adc带隙电压程序

本程序主要使用N76E003单片机的带隙电压基准源,实现对常规ADC测量中,受供电电压影响进行补偿,本工程由KEIL4进行创建,注释清晰,需要Nu_Link仿真器。

2018-09-29

N76E003呼吸灯程序(PWM中断&重设占空比)

使用PWM5通道作为输出源。周期1ms,占空比0.25ms-0ms可自动重载。PWM4恒定输出,作为比较源。代码由Keil4创建,注释清晰

2018-09-26

N76E003单片机PWM程序(边沿对齐,独立输出模式)

本工程由KEIL4构建,程序注释均使用中文,一目了然,可非常快的进行相关修改,使用PWM5(P03)输出1Khz频率,0.5ms占空比方波

2018-09-17

N76E003红外接收程序模板(含电路)

本工程由KEIL4创建,已成功编译,注释清晰,运行稳定,内含电路图,完整工程文件,红外解码相关知识详见我的博客

2018-09-13

N76E003串口0、串口1中断程序模板

N76E003串口0、串口1中断程序模板,配置两个串口工作在模式0(半双工模式),程序由KEIL4 创建,注释清晰,已成功编译。具体程序解析,可看我博客

2018-09-13

N76E003红外编码程序(含电路图、程序)

使用N76E003单片机定时器0进行红外编码发射的工程文件,包含电路图,遵循NEC协议(38K载波),已通过逻辑分析仪及红外接收设备验证,程序稳定,注释清楚,使用N76E003单片机内置16M晶振。

2018-09-09

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除