自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

简生小屋

请多指教

  • 博客(11)
  • 资源 (3)
  • 收藏
  • 关注

原创 Pytho初步-列表及其增加 & 删除元素

二、列表今天在工作中涉及到列表的处理,非常简单的数据类型,但是处理起来或遇到各种问题,需要格外小心。更新的内容主要包括列表的定义,增加,删除元素,条件判断去除列表元素,以及列表最大值,最小值,平均值的获得2.1 列表初步在python中,列表的关键字是list,其中可以包含任意的元素,最简单的便是一维列表,即列表的每个元素都是单纯的数据元素,而不是其他的数据类型。在此之上,列表中的每个元素也可以是列表,因此,嵌套之下,可以有二维列表、三维列表等等。此外,列表中的每个元素也可以是字典,就更为复杂一点。

2021-03-24 21:54:04 198 1

原创 Python初步-环境 & hello world

写在前面好久没有更新了,一方面是因为离开了学校,进入了公司工作,自由的时间相对要少,也很少有心情去一点一点地,逻辑化地表述自己所学习的东西;另一方面也是因为所学的东西与学校千差万别,不得不感叹公司和学校之间庞大的鸿沟。不过忙归忙,闲下来总结自己的成长是学习的重要步骤,整天的忙于工作,容易变成一个冰冷的机器,无法总结自己的成长。毕竟把那些重复接触的东西真正变成自己的理解,并表述出来是才是看得到的成长。于是,在入手新电脑之后????,还是决定有空写点什么比较好,就先从最近接触很多的python写起好了,这

2021-03-22 22:14:27 337

原创 单bit信号跨时钟域(2)

握手概念的引入上篇文章中,我们基本了解了单bit信号跨时钟域的三种同步器,在最后一种脉冲同步器中,实现了要求较为苛刻的从慢时钟域到快时钟域的跨越,并输出了与新时钟周期等宽的脉冲信号。但在功能仿真中可以看到,要跨越时钟域的两个单bit信号,存在一个最小间隔的要求,即输入脉冲的最小间隔必须等于两个新时钟的时钟周期。而且,上述三种同步器的设计中,同步器的控制传递都是单向的,仅从原时钟域到目的时钟域,后...

2019-08-15 16:48:03 2425

原创 单bit信号跨时钟域(1)

1.电平同步器单bit信号跨时钟域最为简单的方法就是通过寄存器打两拍进行同步,也就是所谓的电平同步器。给出电路图:为了使同步器正常工作,从原时钟传来的信号应该先通过原时钟上的一个触发器,以消除所带的毛刺,而后不经过任何组合逻辑,进行打两拍,这一要求非常重要,因为同步器的第一级触发器对组合逻辑所产生的毛刺非常敏感,一旦毛刺满足条件时序要求时,会给同步时钟送出虚假的信号。本质来说,电平同步器是用...

2019-08-13 21:54:57 5614 1

转载 verilog乘法器以及booth编码改进

第一章 整数乘法器1.1 整数的概念整数在IEEE 的规定上有,短整数short integer , 中整数integer 和 长整数long integer ,它们之间的关系如下:  整数字节空间取值范围短整数一个字节-127 ~ 127中整数两个字节-32767~32767长整数和四个字节-2147483647~2147483647  在这里笔者以短整数...

2019-08-06 10:04:14 4653

转载 FPGA下RAM的工作模式

1、前言Vivado在生成memory的时候,有个Operating Mode选项。本篇将专门分析这些工作模式的含义...

2019-08-05 16:56:53 1640 1

转载 时序分析基本概念介绍——时钟sdc

上次介绍了sdc的基本概念,那接下来几期,我们来讲解一些比较常用的sdc命令。虽然sdc大大小小有上百条命令,但实际常用的其实就那么10几条。今天我们来介绍下与时钟相关的命令。主要有以下命令: create_clock create_generated_clock set_clock_uncertainty set_clock_g...

2019-08-01 10:01:54 7729 1

转载 verilog中generate语句的用法

verilog中generate语句的用法生成语句可以动态的生成verilog代码,当对矢量中的多个位进行重复操作时,或者当进行多个模块的实例引用的重复操作时,或者根据参数的定义来确定程序中是否应该包含某段Verilog代码的时候,使用生成语句能大大简化程序的编写过程。​ 生成语句生成的实例范围,关键字generate-endgenerate用来指定该范围。生成实例可以是以下的一个...

2019-07-31 16:07:41 2847 1

转载 复位电路

复位电路   时钟电路我第一篇博客已经说讲过了,今天我们来聊聊复位电路。当然,复位电路博大精深,并不是三言两语就能说清楚的,因此这里也是聊聊复位电路的基础,更深的研究需要在实际的项目中才能深有体会。本文的主要内容有:    ·复位电路概述    ·同步复位电路    ·异步复位电路    ·复位策略——复位网络1.复位电路概述  复位信号在数字电路里面的重要性仅次于时钟...

2019-02-22 18:02:00 2666

原创 PIC16C5X CPU项目总结

PIC16C5X系列CPUPIC16C5X系列的基本介绍PIC16C5X是美国Microchip推出的世界上第一种8脚的超小型单片机系列,体积虽小但拥有很多功能特点,节省了很多其他单片机应用中必须外接的元器件,所以它是目前最便宜的8位OPT单片机。主要点特如下:采用RISC,仅33条指令,指令字长为12位。除了涉及PC值改变的指令外,其余指令均为单周期指令。在本设计中,单周期为3个时钟周期...

2019-01-01 17:21:02 2899 5

原创 Veriog——简易的BlackJack(21点)程序

- BlackJack(21点)游戏 BlackJack即我们所熟悉的21点游戏,这是一种扑克牌游戏。 玩这个游戏需要一副扑克牌。从2个花到10个花的牌值就是牌花的点数,而A的牌值可以为1或者11.- Verilog实现思路:参考J.BHASKER的《Verilog HDL入门》,实现思路如下: 程序的输入包括牌值信号card_value,位宽为4,发牌准备信号card_rd...

2018-08-12 16:01:25 4635 1

pulse_syc_handshake.rar

脉冲同步器+全握手方式 包括设计代码以及测试代码,欢迎反馈

2019-08-15

单bit信号跨时钟域的方法:电平同步器+边沿同步器+脉冲同步器

单bit信号跨时钟域的三种方法,电平同步器+边沿同步器+脉冲同步器 包含设计代码与测试代码,欢迎反馈

2019-08-13

PIC16C5X系列CPU以及测试文件

PIC16C5X系列CPU的设计代码以及相应的测试代码。参考Github上Morris的源代码修改而成,测试代码添加了一些为提高覆盖率所做的指令,除翻转覆盖率以外,其他覆盖率均可到100%

2019-01-01

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除