自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(77)
  • 资源 (33)
  • 收藏
  • 关注

原创 RT-Thread和Freertos哪个更适合学习开发使用呢

Freertos是一个国外推出的一个迷你的实时操作系统内核,开源,功能包括:任务管理、时间管理、信号量、消息队列、内存管理、记录功能、软件定时器、协程等,可基本满足较小系统的需要。RT-Thread是中国人自己推出的一个集实时操作系统(RTOS)内核、中间件组件和开发者社区于一体的技术平台,开源os,RT-Thread除了有常规RTOS的功能,还具备一个IoT OS平台所需的所有关键组件,例如G...

2020-01-02 15:50:10 41088 18

原创 sx1278lora模块常见问题解答

转发请注重原创出处,谢谢!问:LoRaWAN数据速率是多少?答:对于LoRa来说,LoRaWAN数据速率范围在0.3kbps到11kbps之间,欧洲地区GFSK数据速率是50kbps。在北美地区,由于FCC限制最小数据速率是0.9kbps。为使终端设备的电池寿命和总体网络容量最大化,LoRaWAN网络服务器通过自适应数据速率(ADR)算法对每个终端设备数据速率和RF输出分别进行管理。ADR...

2018-10-10 15:17:43 12580

原创 SX1278与STM8L的精美结合。

转发请注重原创出处,谢谢! 一.   引言 能耗对于电池供电的产品来说是一个重大的问题,一旦电能耗尽设备将“罢工”,在某些场合电能意味着电子产品的生命。物联网时代将会有越来越多电池供电的设备通过无线通信连接,降低能耗再次摆在工程师的桌面上—解决它。不但具备空旷环境传输5km的超长距离优势,还将休眠能耗降低到极致(0.4uA,带RTC为1.4uA)。我们是怎么做到的呢?接下来,一步一...

2018-04-23 16:07:52 21599 9

原创 STM32与DS1302设计时钟芯片,超详细

DS1302 是DALLAS 公司推出的涓流充电时钟芯片,内含有一个实时时钟/日历和31 字节静态RAM ,通过简单的串行接口与单片机进行通信。实时时钟/日历电路提供秒、分、时、日、周、月、年的信息,每月的天数和闰年的天数可自动调整。时钟操作可通过AM/PM 指示决定采用24 或12 小时格式。DS1302 与单片机之间能简单地采用同步串行的方式进行通信,仅需用到三个口线:(1)RES 复位(2)...

2017-12-19 16:08:51 70936 8

原创 江山易改本性难移之ZYNQ SDK FSBL加载启动代码详解

②把BOOT.bin中header解析出partition header并保存到全局变量PartHeader PartitionHeader[MAX_PARTITION_NUMBER]中,实际有效的只有3个partitions,即FSBL.elf,FPGA.bit,application.elf。(2)在同目录下找到文件boot.S中可以看到_boot标号下的代码,_boot会对系统做一系列的初始化,包括DDR,中断,MMU,cache等,执行完成后PS将具有执行C代码的能力。

2024-01-10 10:27:37 1187

原创 江山易改本性难移之ZYNQ SDK QSPI固化bug及其解决方法

总结一下,就是需要建两个FSBL程序,也可以生成BOOT.BIT以后,修改当前FSBL工程(本例程),一个用于生成BOOT.bin文件,一个用于加载,即Program flash。2)弹出的窗口中可以看到生成的BIF文件路径,BIF文件是生成BOOT文件的配置文件,bif文件和bin文件放在同一个根目录下,并且再次确认是否是最新生成的文件;2)点击Xilinx—Program Flash,加载刚刚fsbl文件生成的BOOT.bin与fsbl_load文件生成的fsbl_load.elf,

2024-01-10 10:03:10 1027 1

原创 AD9361寄存器功能笔记之本振频率设定

先根据需要的本振频率,确定RF-PLL中VCO的频率。本振频率和VCO频率是“2^n”分频的关系,因此对本振频率进行累乘2,使其落在6GHz~12GHz,就得到期望的VCO工作频率Fd。[3] 注意:每个表的表头有VCO CAL COUNT值,表示VCO频率校准计数长度,可能的值为128,256,512,1024.(详见VCO部分)在TDD模式下,VCO校准时间短,不进行温度补偿;ADI给了6张表,分别是在TDD和FDD模式下,电荷泵输入的参考信号频率为40MHz,60MHz和80MHz时的配置。

2023-11-21 09:02:44 1646 1

原创 SRAM型FPGA空间应用的抗单粒子翻转

目前,SRAM 型 FPGA 在星载电子产品中应用较多的是Xilinx公司的FPGA。图1所示为Xilinx系列FPGA器件的内部结构。从图中可以看出,FPGA内部由3 部分组成,分别为:1)用于实现用户逻辑的可编程阵列(Configurable Logic Block,CLB);2)用于存储用户数据的左右两列存储器(Block RAM,BRAM);3)输入/输出模块(I/O Block,IOB)。CLB 中包含有查找表 LUT、进位逻辑和触发器等元件。

2023-11-02 17:25:56 961 1

原创 AD9361 数据数字接口说明

AD9361和BBP之间的数据接口以两种模式之一工作:标准CMOS兼容模式或低压差分信号(LVDS)兼容模式。本篇文章将简要介绍一下CMOS和LVDS工作模式下的数据具体的传输样式。

2023-11-02 16:48:39 1276

原创 FPGA之JESD204B接口——总体概要 实例 下

1、概述DAC正常工作的前提是电源以及时钟稳定工作,因此需要首先配置锁相环,根据ADI评估板EVAL-AD9174开发记录—上的时钟需求配置出需要的时钟频率,待时钟锁定后配置dac内部锁相环,NCO,jesd模式等寄存器,待dac配置完成后实现与FPGA之间数据link。 2、HMC7044配置2.1、接口驱动时钟接口代码由ROM配置模块、操作维护配置模块和SPI接...

2021-12-15 19:05:37 3956 4

原创 FPGA之JESD204B接口——总体概要 实例 中

1、AD9174配置本设计采用AD9174,其是一款高性能、双通道、16位数模转换器(DAC),支持高达12.6 GSPS的DAC采样速率。该器件具有8通道、15.4 Gbps JESD204B数据输入端口、高性能片内DAC时钟倍频器和数字信号处理功能,适合单频段和多频段直接至射频(RF)无线应用,本设计要求(1)200M用户数据,通道内插x6,主路径内插x8,总共48倍内插,实现fs=9.6GHz;(2)输入设备时钟频率为device clk=9600/16=600MHz,同时设备参考时钟=9600

2021-12-15 18:08:15 3557

原创 FPGA之JESD204B接口——总体概要 实例上

JESD204B IP CORE结构JESD204B支持速率高达12.5Gbps,IPcore可以配置为发送端(如用于DAC)或接收端(如用于ADC),每个core支持1-8 lane数据,若要实现更高lane的操作需要通过multi cores实现。JESD204B transmitter主要包含以下功能模块1、AXI4-STREAM数据流接口;2、TX lane逻辑模块包括:- 加扰;- 插入对齐参数;- ILAS序列生成;3、TX计数器;4、JESD204B PHY;5、RPA

2021-12-15 18:04:44 8458 2

原创 FPGA之JESD204B接口——总体概要 尾片

在上一篇博客中《JESD204B(1)——总体概要》,我们框架性的介绍了JESD204B,这篇博客介绍协议所需要关注的一些参数,这些参数基本就是决定了连接特性。理解这些参数,有助于理解连接中的转换特性、路径数(lane count)、速率以及接收能力。本篇博客主要是翻译自文献《Understanding JESD204B Link Parameters》,在加上一些自己的总结和补充。1. 参数首先,有关连接的主要参数如下表所示:参数 含义 M 每个器件的转换数(理解为每个AD

2021-12-02 19:11:21 2799 1

原创 FPGA之JESD204B接口——总体概要 首片

1. 简介JESD204是一种连接数据转换器(ADC和DAC)和逻辑器件的高速串行接口,该标准的 B 修订版支持高达 12.5 Gbps串行数据速率(目前C修订版已经发布,即JESD204C),并可确保 JESD204 链路具有可重复的确定性延迟。随着高速ADC跨入GSPS范围,与FPGA(定制ASIC)进行数据传输的首选接口协议是JESD204B。在上一篇有关SerDes的博客《SerDes基础知识总结》中提到,JESD204B的物理层是基于SerDes的,所以JESD204B理所当然的继承了Se

2021-12-02 18:59:20 10984

原创 FPGA之JESD204B接口——总体概要 前奏

在开始了解高速接口的时候,必然会涉及到SerDes,本篇博客主要是在阅读大量文献之后形成的转述和总结。serdes的知识点实际上非常多,并且很多文章论述的侧重点不一样,有的测重整体,有的着眼细节,我则综合提取,以帮助跟我一样的初学者能够初步建立对SerDes清晰的认识。1. 简介SerDes是Serializer/Deserializer的缩写,即串行器和解串器,顾名思义是一种将并行数据转换成串行数据发送,将接收的串行数据转换成并行数据的”器件“。对于FPGA工程师来说“串并转换”再熟悉过不过了

2021-12-02 18:58:00 3106

原创 FPGA之SSI接口协议实现

1、SPI概述 SPI(Serial Peripheral Interface)——串行外围设备接口。SPI接口主要应用在EEPROM、FLASH、实时时钟,AD转换器以及数字信号处理器和数字信号解码器之间。SPI是一种高速,全双工,同步的通信总线,在芯片上只占用四根线(CS、MOSI、MISO、SCK),极大的节约了芯片的引脚。优点 支持全双工 支持高速 协议支持字长不限于8bit,可以根据应用灵活选择消息字长。 硬件连接简单 缺点 相比I2C多两条线 没有寻址机制,只...

2021-09-28 08:17:47 3888

原创 FPGA---7系列之IBERT_GTX内外环测试

一、概述IBERT(集成误码率测试仪)是xilinx为7系列FPGA GTX收发器设计的,用于评估和监控GTX收发器。IBERT包括在FPGA逻辑中实现的模式生成器和检查器,以及对端口的访问和GTX收发器的动态重新配置端口属性,还包括通信逻辑,以允许设计在运行时通过JTAG进行访问。IBERT工具用于对Xilinx FPGA芯片的高速串行收发器进行板级硬件测试。通过IBERT可以获取误码率,观察眼图,调节串行收发器的参数,从而有助于判断可能存在的问题,便于验证硬件的稳定性和信号完整性。二、测试原

2021-07-27 10:28:52 11634 9

原创 江山易改本性难移之ZYNQ SDK API函数笔记(UART)

初学Xilinx ZYNQ SDK的开发,下面记录使用到的API函数及自己的理解。若有误,还请指教。UART函数常用编程步骤:1.查找输入设备的ID查找设备;2.输入的配置信息初始化;3.设置工作模式;4.设置波特率;5.设置RxFIFO的中断触发等级;6.设置接收超时时间;7.设置UART的中断触发方式配置UART只需要以上5个步骤,其中6、7是笔者做串口不定长的时候设置的,参考者可要可不要。1.UART初始化 对UART设备初始化操作和前面GPIO...

2021-07-15 19:18:58 1411 2

原创 江山易改本性难移之ZYNQ SDK API函数笔记(Timer)

初学Xilinx ZYNQ SDK的开发,下面记录使用到的API函数及自己的理解。若有误,还请指教。Timer函数常用编程步骤:1.查找输入设备的ID查找设备;2.输入的配置信息初始化;3.加载计数周期;4.设置自动装载模式;5.启动定时器;配置GPIO只需要以上5个步骤。1、XGpioPs_Config *XGpioPs_LookupConfig(u16 DeviceId)应用举例XScuTimer_Config *ConfigPtr;ConfigPtr = XSc

2021-07-15 18:44:29 708

原创 万变不离其宗之ZYNQ串口介绍

导语 串口是我们在设计程序中最常用的接口,串口是硬件系统运行状态的忠实打印者,可以完成数据的传输、log输出等功能。1.串口介绍 通信的两种方式:串行通信、并行通信 串行通信方式分为:同步通信、异步通信 关于传输方向:单工、半双工、全双工UART(异步串行通信) ZYNQ的串口模块是一个全双工的异步接收和发送器,支持宽范围广的软件可编程模块,支持编程配置波特率和数据格式,同时提供自动...

2021-07-15 17:45:47 3012

原创 万变不离其宗之ZYNQ定时器介绍

定时器是最常用的功能,几乎每个CPU都缺不了,几乎每个工程软件也缺不了。 定时/计数器是硬件系统运行状态的忠实记录者,它不受CPU直接干预,自己独立运行,可以完成计时、定时、中断、实时时钟等功能。常用功能:(1)定时产生中断信号(2)用作产生延时函数delay()(3)作计数器,用于检测程序执行时间(这个很好用)。1.定时器系统介绍 首先,zynq 7000 soc芯片具有2个ARM核。每个Cortex-a9处理器都有自己的专用32位定时器和32位看门狗...

2021-07-15 17:26:01 1941

原创 万变不离其宗之ZYNQ中断介绍

看了几天的ZYNQ,针对中断小生分享一些自己的理解 Zynq的PS是基于ARM架构,使用了两个Cortex-A9处理器(CPU)以及GIC pl390中断控制器(单核Zynq则只有一个GIC)。1.系统框架GIC是通用中断控制器(Generic Interrupt Controller)的简称。双核的中断系统框图如下:Zynq的中断结构与CPU密切相关,可以接受来自I/O外设和PL部分的中断。从上图我们可以看到中断主要分为私有外设中断PPI、软件生成...

2021-07-15 16:49:03 2326

原创 万变不离其宗之ZYNQ GPIO介绍

1、GPIO的分类: ZYNQ 共有三种GPIO:MIO、EMIO、AXI_GPIO2、MIO MIO(multiuse I/O):多功能IO接口,属于Zynq的PS部分,Zynq7000 系列芯片有 54 个 MIO。它们分配在 GPIO 的 Bank0 和Bank1 上,这些引脚可以用在GPIO、SPI、UART、TIMER、Ethernet、USB等功能上,每个引脚都同时具有多种功能,故叫多功能IO接口。这些 IO 与 PS 直接相连。不需要添加引脚约束,MIO 信...

2021-07-13 23:03:35 1282

原创 万变不离其宗之ZYNQ启动介绍

1、概述ZYNQ 的详细介绍参考 XILINX 官方文档 UG585,在了解了 ZYNQ 的基本架构组成和丰富的资源后,下一步便是分析他的启动流程,以便更好的认识 ZYNQ 并开始开发工作;关于 ZYNQ 启动方面的描述,这里我觉得涉及到几个大的方面:1、系统上电启动执行过程;2、系统复位相关流程;3、系统时钟树;上面 3 点在 UG585 Datasheet 中都分别是一个大的章节来介绍,这里先介绍系统上电执行过程的部分,也就是 UG585 的Chapter 6 . Boot a

2021-07-13 19:33:20 2402

原创 万变不离其宗之ZYNQ资源

在上一篇文章中(初识ZYNQ)对 ZYNQ 进行了简单的叙述,在做相关的内容之前,有必要对其具体内容进行详细的了解; 首先是对这款芯片所包含的内容进行简单的了解(参考 UG585 手册)1、简述ZYNQ 的内部结构图如下图所示,可以看到是由两部分构成:1、PS 部分:全称为 Processing System,集成了双核 ARM Cortex-A9 处理器以及外设单元;2、PL 部分:全称为 Program-mable Logic,该结构基于 XILINX A7 系列的 FPGA 构成;

2021-07-13 19:20:16 1782

原创 万变不离其宗之ZYNQ初识

传统的嵌入式集成电路应用级芯片常见的 DSP,ARM,PowerPC,MIPS, FPGA 等,FPGA 有灵活性好,资源丰富,可反复编程(Programmable)速度快(并行)的优势。在以往的应用中,常有场景需要使用 ARM 作为主控,通过 ARM 的外设并行 RAM 类总线外挂 FPGA ,使用 FPGA 来做高速的数据采集或者运算的架构,在通信,医疗电子等等行业,这都屡见不鲜;传统的嵌入式 SoC 硬件系统架构如下:XILINX 作为 FPGA (Field Programmable .

2021-07-13 19:08:50 777 3

原创 江山易改本性难移之ZYNQ SDK API函数笔记(GPIO函数)

初学Xilinx ZYNQ SDK的开发,下面记录使用到的API函数及自己的理解。若有误,还请指教。xgpiops函数常用编程步骤:1.查找输入设备的ID查找设备;2.初始化GPIO(最新版本暂不需要);3.输入的配置信息初始化GPIO;4.设置指定引脚的方向: 0 输入, 1 输出;5.使能指定引脚输出: 0 禁止输出使能, 1 使能输出配置GPIO只需要以上5个步骤。(其中2步骤在最新版本中不需要配置)参考#include "xgpiops.h"文件夹1、XG.

2021-07-13 18:41:25 1073

原创 PCI总线特性及信号说明

随着Windows图形用户界面的迅速发展,以及多媒体技术的广泛应用,要求系统具有高速图形处理和I/O吞吐能力,这使原有的ISA、EISA总线远远不能适应而成为整个系统的主要瓶颈。为此,1991年下半年,Intel公司首先提出PCI概念,并联合IBM、Compaq、AST、HPApple、NCR、DEC等100多家公司共谋计算机总线发展大业,成立了PCI集团。PCI:Peripheral Component Interconnect,外围设备互联总线,是一种局部总线,已成为局部总线的新标准,广泛用于当..

2021-04-08 13:53:54 2443

原创 Ubuntu16.04如何在VMWare里面屏幕自适应

1.点击菜单栏“虚拟机” ->选择安装VMWare Tools弹出DVD图标后,会出现VMWareTools压缩包2.压缩包复制到桌面3.使用命令解压 cd /home/你的用户名/Desktop/ tar -xvf VMWareTools文件名4.进入解压目录,安装VMToolscd vmware-tools安装目录sudo ./vmware-install.pl输入密码、提示后一直输入yes,开始安装,直到安装完成。 5.重...

2021-04-06 19:16:04 602

原创 FPGA---Spartan6系列之引脚功能详述

目录1. Spartan-6系列封装概述2. Spartan-6系列引脚分配及功能详述1. Spartan-6系列封装概述  Spartan-6系列具有低成本、省空间的封装形式,能使用户引脚密度最大化。所有Spartan-6 LX器件之间的引脚分配是兼容的,所有Spartan-6 LXT器件之间的引脚分配是兼容的,但是Spartan-6 LX和Spartan-6 LXT器件之间的引脚分配是不兼容的。 ...

2021-03-07 17:11:34 4833 4

原创 FPGA---Spartan6系列之芯片配置模式

目录1. 配置概述1.1. 主模式配置(master mode)1.2. 从模式配置(slave mode)2. 具体配置模式详解2.1. JTAG配置模式2.2. Serial配置模式2.2.1. Master Serial配置模式2.2.2. Slave Serial配置模式2.3. SelectMAP配置模式2.3.1. 单FPGA的Master SelectMap配置模式2.3.2. 单FPGA的Slave SelectM...

2021-03-07 17:08:46 4070 2

原创 FPGA---Spartan6系列之GTP Transceiver

1. 什么是GTP transceiver?  GTP transceiver是FPGA里一种线速度达500Mb/sà6.6Gb/s的收发器,利用FPGA内部可编程资源可对其进行灵活地配置,使其适合不同的需要如以太网、SATA1.0接口等,它的作用是各种高速串行接口的物理层。对Spartan6系列而言,GTPA1_DUAL包含两个GTP transceiver,或者说包含两个通道。  下图是GTPA1_DUAL的内部结构图,图的下半部分是receiver,receiver在对串行输入的比...

2021-03-07 16:49:14 2377

原创 而立之年---Spartan6系列之器件介绍

1. 概述  Spartan6系列是一类低成本高容量的FPGA,采用45nm低功耗敷铜技术,能在功耗、性能、成本之间很好地平衡; Spartan6系列内部采用双寄存器、6输入的LUT,还有一系列的内建系统级模块,这些系统级模块有18Kb Block Ram、第二代DSP48A21 Slice、SDRAM存储器接口(DDR接口)、强健的混合型时钟管理模块、Select IO技术、优化的高速串行收发器GTP Transceiver、PCIE接口、先进的系统级电源管理模式、可自动检测配...

2021-03-07 16:41:56 4828

原创 LINUX资源更新:E: Could not get lock /var/lib/apt/lists/lock - open (11: Resource temporarily ......

上时间没在Ubuntu上更新已将安装的资源软件,使用命令:sudo apt-get update 出现错误:则使用命令:sudo rm /var/lib/apt/lists/lock再使用更新命令:sudo apt-get update即可解决

2021-03-04 14:20:55 114 1

原创 江山易改本性难移之SPI要点

什么是SPI?SPI(Serial Peripheral Interface)是一种嵌入式系统中应用广泛的同步串行通信、主从架构式总线接口。80年代由摩托罗拉开发,已成为事实标准。这句话里有几个关键要点: 同步 串行 通信 主从 总线 要理解这些要点,先上图,一图胜千言:常见的SPI接口有这样几个引脚: SCLK: 串行时钟,总是主端负责输出(Master)。总是由主端控制该信号,从端为输入采样。 MOSI:主出从入(M...

2020-12-07 16:28:52 1494

原创 江山易改本性难移之UART要点

什么是串口?首先这玩意儿分两种:通用异步收发器(UART)是用于异步串行通信的一种物理层标准,其中数据格式和传输速度是可配置的。 通用同步收发器(USART)是一种串行接口设备,可以对其进行编程以进行异步或同步通信。数据格式线上空闲、无数据状态为常高电平,故逻辑低定义为起始位。 起始位:总是1位 数据位:常见的有8位或9位。 校验位 奇校验 偶校验 无校验 停止位: 1位 2位 波特率:bit rate 就是位/秒的概念,

2020-12-07 16:26:51 463 2

原创 江山易改本性难移之I2C要点

[导读] 前文大致总结了单片机串口的一些值得注意的要点,本文来梳理一下I2C总线的一些应用要点。这个题目有点大,对于I2C其实很多地方也没讲清楚,只为了与前文形成系列,如果大家有补充欢迎留言。说了些闲话,进入正题吧。I2C之前世今生I2CI2C(Inter-Integrated Circuit),是一种同步、多主、多从、分组交换、单端、串行计算机总线,由飞利浦半导体(现在的NXP半导体)在1982年发明。它广泛用于在短距离、板内通信中将低速外设集成电路附加到处理器和微控制器上。I2CI2C也可以写成

2020-12-07 16:02:41 411 1

原创 针对STM32F4的肉电路问题

一概述 首先介绍一下什么是肉电路: 个人理解:画好的PCB板子,焊接完成以后,会出现莫名其妙的问题,譬如:当你在调试的时候,手指触碰了一个IC,会出现信号不稳,或者系统会重启之类的问题,很大的可能是因为信号不完整造成的。那怎么样解决呢?你可以在信号线上上拉电阻或者下拉电阻或者信号线直接串电阻,具体的怎么做,那一定要先分析电路。 但是如果是芯片系统复位呢?,那么还是要分析电路,是因为电源干扰?还是因为没共地?还是因为寄生电容、寄生电感等相互干扰?二 电路是波与场之间的变化大多数...

2020-10-21 15:14:57 1056

原创 在ALLEGRO中添加中文字体

1.初衷  这里必须说明一下分享的初衷,我想很多人一开始都百度过如何将LOGO导入到Allegro中,百度到了很多文章,提到最多的就是“RATA-Raster-(BMP)To-Allegro(IPF)”这个工具,但是很可恶的就是没有提供下载的链接,有些就是要收费,个人认为这个本来就不是哪个个人写的,而且我也认为这种工具没有必要藏着掖着,不知道为什么这么憎恨,今天想起来了,所以这里我就提供一个链接供大家下载使用,当然我还没有使用过,因为目前用不到,只能让大家去当小白鼠了,能安装运行肯定是没有问题的。给

2020-10-13 22:09:33 1904

原创 步进电机联动的动态查表法算法描述

1 引言步进电机具有快速启停能力强、精度高、转速容易控制的特点。但是,步进电动机应避免转动速率的突变,而且从停止到开始转动有一个较低的起动频率,随后才可以平缓地过渡到较高的转速。相应地在步进电机制动时,也应该平缓过渡。如果由于启动和停止控制不当,步进电机会出现启动时抖动和停止时过冲的现象,从面影响系统的控制精度]。为避免这种情况的发生,要对步进电机进行加减速控制。加减速控制是数控系统的关键技术,对提高数控系统的精度及速度有重要的意义。加减速控制也得到了广泛的研究与应用。目前国内外步进电机加减速控制曲

2020-08-26 14:27:36 1205

STM32进阶之串口环形缓冲区实现 FIFO

STM32进阶之串口环形缓冲区实现 FIFO,代码精简,易实现。

2019-01-26

DS1302源码

DS1302的源代码,超详细,很容易看懂,都有注释,小白都能看懂

2019-01-24

linux内核源码--赵炯博士

本文件是赵炯博士的内核源码注解,希望能帮助linux的朋友们

2019-01-24

SX1278和STM8的程序

该代码是可以使用,不用做任何修改,这个只是SX1278的配置和操作代码。

2019-01-22

STM8和SX1278的原理图

该原理图只有cadence才能打开,同时也可以转AD格式,这个需要自行转换。

2019-01-22

Protel封装库至Allegro的转换

Protel封装库至Allegro的转换 ,对于硬件设计工程师来说,是一个很好的帮助。谢谢

2019-01-15

SX1278与STM8L的精美结合。

原理图是cadence能打开,程序是IAR,希望能帮助到做低功耗开发的朋友。谢谢

2018-12-29

STM32远程升级的引导工程

该文件是本人子摸索,探索,所了解的远程升级必备文件,这个启动文件可以和自己写得工程文件写在一起,也可以分开写。本人秉着模块化的思想,把bootloader单独分开一个工程,这个只是一个启动工程,具体怎么用,需要各位码农发挥所长,如果码农了解了原理,是用于任何情况下的远程升级

2018-12-27

stm32_IAP远程升级程序_STM32+W5500+IAP

stm32_IAP远程升级程序_STM32+W5500+IAP,现在项目正在使用,基于http的get和post两种模式。

2018-12-25

STM32+RTC(DS1302)实时时钟设计,整个工程(库函数)

STM32+RTC实时时钟设计,整个工程已测试,现在正在项目上用。

2017-12-25

STM32看门狗WWDG—窗口看门狗

STM32看门狗WWDG—窗口看门狗,工程已测试,放心使用。

2017-12-25

DM9000A与网络变压器连接原理图

DM9000A与HR601680连接原理图,正在使用,大家放心使用。

2017-10-19

PL2303HX典型电路,已验证,放心使用

PL2303HX采用28脚贴片SOIC封装,工作频率为12MHZ,符合USB 1.1通信协议,可以直接将USB信号转换成串口信号,波特率从75~1228800,有22种波特率可以选择,并支持5、6、7、8、16共5种数据比特位,是一款相当不错的USB转串口芯片。本电路正在用,放心使用!

2017-10-19

STM32和SHT3X 高精度温湿度传感器驱动

温湿度传感器精度高,IIC数字接口,但资料比较少,官网也不提供源码,附件是本人一个项目上SHT3x部分代码,可以放心使用。本人已经测试。

2017-09-14

单片机头文件C8051F系列

c8051F000.h c8051F000_defs.h c8051F020.h C8051F020_defs.h c8051F040.h c8051F040_defs.h c8051F060.h C8051F060_defs.H c8051F120.h C8051F120_defs.h c8051F200.h c8051F200_defs.h c8051F300.h C8051F300_defs.h c8051F310.h C8051F310_defs.h c8051F320.h C8051F320_defs.h c8051F326.h C8051F326_defs.h c8051F330.h C8051F330_defs.h C8051F336_defs.h c8051F340.h C8051F340_defs.h c8051F350.h c8051F350_defs.h C8051F360.h C8051F360_defs.h c8051F410.h C8051F410_defs.h C8051F500_defs.h c8051F520.h C8051F520A_defs.h C8051F540_defs.h C8051F560_defs.h C8051F580_defs.h C8051F700_defs.h C8051F800_defs.h C8051F912_defs.h C8051F930_defs.h C8051T600_defs.h C8051T610_defs.h C8051T630_defs.h compiler_defs.h Si1000_defs.h Si1010_defs.h Si8250.h

2017-02-12

keil 驱动在线调试 2,3,4 C8051

用于c8051f 仿真,下载的驱动部分,本人测试通过

2016-09-02

射频快速计算工具

射频快速计算工具,英文版的,上手很快!希望能帮助一些朋友开发!

2015-05-08

ST-LINK and STice Support Package.rar

ST-LINK and STice Support Package.msi安装包,安装之后能完美解决使用IAR开发STMx下载软件到开发板上前提示选择Packager.msi的问题

2021-05-26

FMC接口包括公头和母头.rar

该FMC接口使用任何画图软件cadence、AD、PADS

2021-04-16

Xilinx最新封装.rar

xilinx最新封装库 赛灵思公司元件库Spartan系列、Virtex系列、Xilinx CoolRunner、XA、XC等

2021-03-07

RATA-Raster-(BMP)To-Allegro(IPF)

过如何将LOGO导入到Allegro中,提到最多的就是“RATA-Raster-(BMP)To-Allegro(IPF)”这个工具

2020-10-13

国网电表测试软件 1376.1-2013.rar

国网电表测试软件 包括国密算法工具smartTool_V1.3_ 希望能帮助到大家的开发 针对电力行业开发的工具

2020-04-29

国标376.1-2013采集终端后台v3.0.35.rar

当前版本:v 3.0.38 v 3.0.38 +增加F105、F106、F107 v 3.0.37 +增加F104曲线冻结密度参数 v 3.0.36 +完善停上电相关参数 v 3.0.35 +增加停上电相关参数(ERC14未修改) v 3.0.34 +增加0CF12以及修改0CF162 v 3.0.33 +增加批量查询F129与F161功能 v 3.0.32 +增加山东的F88与F224参数 v 3.0.31 +增加一类F251 v 3.0.30 +修改查询档案时分帧下发,同设置档案

2020-04-29

RTT_stm32_easy_flash.rar

EasyFlash是一款开源的轻量级嵌入式Flash存储器库,主要为MCU(Micro Control Unit)提供便捷、通用的上层应用接口,使得开发者更加高效实现基于的Flash存储器常见应用开发 里边包括了两个文件,都可以用,其中一个包含了FAL组件

2020-01-06

STM32+8266透传

STM32+RFID+8266实现透传功能,该工程已经验证使用.

2020-01-03

JTT808_2013道路运输车辆卫星定位系统北斗兼容车载终端通讯协议技术规范.rar

JTT808_2013道路运输车辆卫星定位系统北斗兼容车载终端通讯协议技术规范,包括PDF以及word。。。。。。。。。。

2020-01-02

基于STM32的HTTP远程升级

基于STM32的HTTP远程升级,通过调用HTTP_GETPkt()函数并传入指定参数,就可以通过局域网上传数据到自己搭建的服务器,当应用于公网时,只需要把IP和PORT做相应修改即可

2019-12-03

STM32F030最小系统资料包包括全部资源

STM32F030最小系统资料包包括全部资源,很全,包括了,原理图,例程,各种系统

2019-11-07

F103C8T6每隔20s驱动DS18B20测温_OLED12864显示(i2c)_PH值检测_HC-12无线发送_间隔期间进入低功耗模式

STM32F103C8T6每隔20s驱动DS18B20测温_OLED12864显示(i2c)_PH值检测_HC-12无线发送_间隔期间进入低功耗模式

2019-11-07

F103C8T6每隔20s驱动DS18B20测温_OLED12864显示(i2c)_PH值检测_HC-12无线发送_间隔期间进入低功耗模式

STM32F103C8T6每隔20s驱动DS18B20测温_OLED12864显示(i2c)_PH值检测_HC-12无线发送_间隔期间进入低功耗模式

2019-11-07

2.4G_ANT.rar

2.4G各种形状天线的封装,支持AD PCB封装。

2019-11-04

ESP8266最小系统.rar

_ESP8266原理图以及PCB支持AD打开。

2019-10-14

国南网698协议解析软件最新版

698面向对象的解析,它是面向对象的,把相关的数据和方法组合为一个整体来看待,所谓面向对象即是相对于面向过程的。面向过程:数据和方法是分离的。面向对象,必然会有类和对象,接口类属于抽象类中一种,接口类有私有属性和私有方法。

2019-09-03

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除