自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(18)
  • 资源 (1)
  • 收藏
  • 关注

原创 数字端做数模混仿的入门简介

在数模芯片的研发过程中,作为研发项目中的数字部分设计,数模混仿是加大设计可靠性的重要过程,用于确保模拟到数字的信号的时序能正确的被采样,确保数字部分的输入正确,为芯片的功能正确保驾护航。这里的数模混仿是指将数字的设计文件和模拟的设计文件进行联合仿真,仿真的顶层是整个芯片的顶层。(当然模拟工程师在设计时也会带着数字的设计文件进行仿真,但模拟工程师和数字工程师进行混仿是有区别的。)这里从数字工程师的角度出发,记录一下部分数模混仿的基础本分。下面从纯数字设计仿真出发过渡到数模混仿上,这样理解框架就清晰明了了。

2024-03-27 08:26:10 817

原创 芯片回片后功耗测试

(1)PR后的功耗仿真case要和测试时使用的case一致,两者出来的功耗数据才具有可比较性;(2)测试时使用的温度、电压尽量和PR后的功耗仿真(后面简称“仿真”)使用的电压、温度保持一致,这里对功耗影响最大的可能是电压,如仿真时使用25°C,而实测时在20°C,可能这对功耗结果影响不大;但是如果仿真使用的是1.2V的电压,而测试时芯片电路的实际电压为1.1V,这个不一致对功耗的影响会很大。

2024-02-24 18:49:39 1055

原创 otp控制设计注意事项

包含otp的芯片,用户在使用时otp的场景如下:芯片上电,然后把otp中存储的参数自动读出放到相应寄存器中,这些寄存器有可能只包含了芯片的出厂信息,但也有包含芯片的控制信息或者计算参数等信息。芯片的控制信息或者计算参数同样重要,这些参数能决定芯片的性能或成败,比如芯片内部的时钟校准参数,烧写到otp的时钟校准参数是针对该片芯片的最佳时钟性能参数,如果读出的时钟校准参数是错误的,则会导致时钟不是最佳,可能会导致芯片的性能下降,无法满足需求从而被淘汰;8 需考虑到otp不能被正常烧写,是否可由外部命令烧写;

2024-01-30 20:59:58 385

原创 IC设计中的形式验证formality

在所有的IC设计中,想要最终成功的设计者都不会放弃做形式验证,且至少需要两次形式验证,第一次是RTL和综合后的网表的比对,第二次是综合后的网表和PR后的网表的比对。3 明白ref文件和imp文件的底层表达,ref文件是RTL的代码文件,而imp文件是用具体器件表示的网表,因此两者之间需要读入具体的器件的db文件,软件才能明白文件具体想表达的以思。1 参考文件,就是那个被定义为永远正确的文件,这里用ref来表示,这里的ref文件就是RTL设计文件;步骤3: 读入综合后的优化文件。步骤2:读入相应的db文件。

2024-01-14 09:58:03 1030

原创 低功耗设计之UPF编写

UPF从不通用到现在的通用,主要经历了以下三个阶段:CPF->UPF1.0->UPF2.0,发展到现在已经更多的版本,发展历史可以参看以下链接,但其中具体的时间节点可能还需要进一步确认,但不影响我们使用UPF。注:由于不同版本,UPF版本不同,语法有点差异,但我们在实际使用时选择UPF版本应该根据我们使用的工具来确定,即使用的UPF版本很新,若工具不能识别,也是无用功。

2023-08-17 21:20:28 1066

原创 spyglass之功耗分析

用于功耗分析的软件除了ptpx外,spyglass也可以。ptpx的功耗分析是基于netlist(网表)进行的,一般用于分析综合后的pre功耗和P&R后的post功耗,但这已经是项目进行到比较后期了,如果后期发现功耗数据与我们目标功耗相差较大,则意味着需要功耗优化,这时有可能会将项目计划节点延迟。如果在前期designer可以获得设计模块的功耗数据,可提早优化功耗,spyglass则为designer提供了一个很好的手段去评估功耗,从而提前优化功耗。

2023-08-08 21:15:47 1864

原创 pre/post gate sim 仿真笔记

同时也建议做门级仿真的人员最好是验证人员,因为门级仿真的环境是在功能仿真的环境上进行修改得到的,验证的人员更熟悉验证环境,同时需要门级功耗数据时已经进行到研发时间紧迫的阶段,这样能减少门级仿真所花费的时间,提升功耗决策效率,而且验证人员也更熟悉每支case如何确认波形的正确性,避免功耗的输入波形有误,造成决策失误,从而影响项目进程。(3)门级网表的波形需要核对,比较的除了相应的标志信号,还需要比较数据,如最终输出的数据、输入到ram的数据作为每次门级网表波形正确的判断标准;

2023-06-23 19:08:34 1271

原创 ptpx功耗分析——分析功耗报告

pre netlist和post netlist会存在在同样的hierarchy中同样的instance的部分cell一样,即使门级仿真不带任何时序(也就是和rtl仿真一样,为理想状态下的仿真),ptpx跑功耗时也不带任何时序反标,输入输出的波形变化相同,同一个cell的pre和post的功耗也会存在差异,因为相同cell的输入状态(如input_net_transition)和输出状态(output_load)不一样,这里可以参见前面提到的三种power的计算和lib中的功耗相关的表查看。

2023-05-03 19:06:35 2792 4

原创 PTPX功耗分析笔记——跑出功耗报告

(5)进行功耗分析。从芯片设计到芯片量产的过程中功耗分析是必不可少的环节,在流片前进行功耗分析可以大致估计芯片在各应用场景中的功耗,可以提前做好功耗的优化,达到我们的预期功耗目标。功耗分析分为综合后的功耗分析(简称pre功耗分析)和PR后的功耗分析(简称post功耗分析)。post的功耗分析用的网表是经过布局布线、插入时钟树等相关操作后的网表和sdf文件跑出波形文件(这里用的是fsdb波形文件)来进行功耗分析,且在PR过程中可能会引入新的库文件,在post功耗分析时需要将新的库文件加入功耗分析的库里面。

2023-04-06 08:31:59 4658 11

原创 IC设计中的DC综合学习记录——部分问题及解决方法

DC综合中遇到的问题及相应的解决方案

2022-07-03 15:24:39 3605

原创 Verilog RTL代码设计规范简单整理总结

整理记录的IC设计规则,欢迎指正,提出修改意见。但若有侵权,联系则删

2022-04-05 14:48:04 6422

原创 IC设计中的DC综合学习记录——模板记录

DC综合的学习记录——模板记录

2022-03-13 09:54:37 4352 3

原创 数字IC设计工程师需要清楚的signoff点

作为一名数字IC设计工程师,虽然核心工作是设计,但其他相关工作亦很重要,亦需要了解。下面简单的给出从设计开始到流片前的多个步骤使用的工具和相关的signoff点。阶段0:准备好相应的版本控制工具和文档存储共享工具版本控制工具:GIT,输入为RTL代码文档资料存储共享工具:SVN,输入为RTL的设计相关文档阶段1:RTL设计文档编写阶段使用的工具:基本的办公软件输入为算法文档、算法程序、协议等输出为RTL设计文档,模块操作流程和约束等文档注:一般情况下先是对算法或者协议之类的进

2022-03-08 10:21:30 3396 1

原创 AMBA 2.0之AHB学习笔记

1 学习AHB的简单记录AHB协议已经有较多人学习总结了,在学习过程中参考的网址如下所示:总线协议 -- AMBA总线(一) - love小酒窝 - 博客园 (cnblogs.com)AHB总线_bleauchat的博客-CSDN博客_ahb总线AHB—SRAMC项目(结构图,核心代码、Testbench架构)_Mr.翟的博客-CSDN博客_sramcAHB总线_bleauchat的博客-CSDN博客_ahb总线AMBA AHB总线 - BH5HSV - 博客园 (cnblogs.c

2021-12-12 13:46:01 2850 2

原创 IC设计过程中笔记简记

写在前面:在IC设计的过程中,只要出现问题就没有小问题,全部需要严肃认真对待,绝不能马虎了事,需要找到问题的根源并予以解决掉,并总结经验教训。有错误和问题并不可怕,可怕的是问题没有真正被解决,并未吸取经验教训,以后还犯同样的错误。目录设计IP过程中的总结计IP过程中的总结IP是组成整个系统的重要部分,如果能做到每个IP在设计时处于最有状态,则有利于整个系统的PPA。在IP设计过程中的记录:1 在设计过程中使用到ROM但还没有生成ROM的.v文件时,自己可以用table的形式编写一个,

2021-12-11 19:24:41 663

原创 Verilog中的case(1‘b1)

在用Verilog设计RTL代码时用到case(1'b1)的时候不多,因此遇见时就会很迷惑。下面转载一个链接,里面有解说,但需要说的是“一次输入只有一个1”这点可能不太准确,因为优先级编码更注重的是优先级,如链接中的第一张图中的优先级编码表和下面的仿真图。秋招手撕代码:verilog实现常规8-3编码器和优先级8-3编码器_IC媛的博客-CSDN博客_8-3优先编码器verilog上图中,简单的写了一个三输入的编码器,d0是具有最高优先级的,其次是d1,再次是d2。只要d0为1‘b0时,输出

2021-12-01 22:05:55 2923

原创 Verdi的简单使用命令笔记

1 通过flist查看代码结构verdi -f 包含工程的file的list的文件(如flist.f) -top 工程的top-name &注1:“&”的作用——允许在后台运行,即在命令之行窗口使用了ctrl+c后打开的verdi窗口也不会关闭注2:“-top 工程的top-name”的作用——这样打开后工程目录结构是清晰干净的注3:flist.f里的保存的都是各个工程文件的路径,可以是绝对路径,也可以是相对路径(写相对路径时一定要确定写对)2 在verdi的波形文件中添

2021-11-27 11:36:15 14463

原创 Linux下的常用命令

linux的简单使用命令,为学习使用笔记整理。如发现错误,请平静指出。

2021-11-21 09:21:09 312

HFSS15 X86 材料设置

在安装完HFSS15 X86的小伙伴,部分人会遇到在应用HFSS设计过程中出现3D模型的问题,仔细检查会发现是由于材料设置为空或者材料设置为空白而无法进行,这个整个设计带来了一定的困扰。下面提供一种解决该问题的方法,如有更好的方法,欢迎分享。

2016-09-29

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除