自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(61)
  • 资源 (7)
  • 收藏
  • 关注

原创 verilog HDL -生成块 - generate——endgenerate

实例说明generate语句的用法

2023-07-03 14:51:39 765

原创 FPGA时序约束与分析(1) --- 时序约束概述

FPGA时序约束基础

2023-02-16 17:33:22 3080

原创 FPGA时序约束与分析 (3)--- 时钟约束create_clock --- 虚拟时钟

虚拟时钟的介绍及约束说明

2023-02-16 13:36:25 1098

原创 FPGA时序约束与分析(2)--- 时钟约束create_clock --- primary clock主时钟

FPGA约束主时钟

2023-02-13 15:42:58 376

原创 DDR4 SDRAM (MIG) IP 核用法

ddr4 ip核使用笔记

2023-02-07 10:35:15 2108

原创 从DDR4芯片名称开始了解DDR4 --- 个人笔记

了解DDR4的基本内容

2023-02-06 16:51:18 1277

原创 repeat语句 及 赋值语句说明---verilog HDL

repeat语句用阻塞赋值语句,与用非阻塞语句产生的结果差别非常大,此文主要介绍相同情况下使用两者产生的不同结果,方便按照需求选用合适的赋值语句。

2023-01-05 16:36:29 2171

原创 CSDN编辑器格式调整 --- 个人笔记

csdn编辑器格式设置说明

2022-12-12 17:40:41 229

原创 forever 循环语句用法说明 --- verilog HDL

forever语句主要生成周期性波形以用于仿真

2022-12-12 14:04:42 1778

原创 二进制原码、反码、补码及符号位进位

二进制的原码、补码、反码。以及带符号位的加法运算

2022-12-06 10:50:08 1454 4

原创 CRC32校验算法原理及计算说明

CRC32计算校验码原理及计算说明

2022-12-05 17:23:38 9703 5

原创 计算机实现加减乘除 ----- 二进制

二进制四则运算

2022-12-02 16:11:51 486

原创 verilog 运算符

verilog运算符说明

2022-12-02 13:47:29 3252

原创 ibert测试 - UltraScale+

高速口使用前最好先进行ibert测试,确保高速口的硬件是没有问题的。

2022-11-01 17:19:28 891

原创 UltraScale+ GTH ip核使用

主要介绍GTH的IP核使用。

2022-10-08 15:40:15 4696

原创 使用8bit乘法器实现16bit数据的乘法

8bit乘法器是实现16bit的乘法

2022-09-06 16:16:48 1280

原创 matlab读取文件

matlab读取十进制、十六进制、无符号转换有符号、mat文件数据

2022-08-30 16:07:30 4774

原创 FPGA复位-异步复位同步释放的实现

异步复位同步释放的实现

2022-08-11 15:30:44 189

原创 vivado-vscode 新手使用说明 - verilog

vscode联合vivado使用

2022-06-16 18:24:02 3293

原创 verilog HDL - 赋值语句 - 非阻塞/阻塞

阻塞赋值/非阻塞赋值

2022-06-06 14:11:42 194

原创 AXI-DMA 连接pl与ps时,丢数

PL给ps侧传输数据时,出现丢数的现象,现象如下图:一般刚开始不久后丢一部分数,后续数据正常。经过多日排查,发现此问题是在block design中将dma-ip-core的tready信号拉到ila中观测导致的,将此信号的external pin删除,信号传输正常,无问题。...

2022-05-11 18:22:20 709 2

原创 AXI-DMA ip 使用

参考:利用ZYNQ SOC快速打开算法验证通路(4)——AXI DMA使用解析及环路测试 - 没落骑士 - 博客园实现PS与PL的高速数据传输,需要利用PS的HP接口通过AXI_DMA完成数据搬移。AXI DMA主要包括Memory Map和 Stream两部分接口,前者连接PS子系统,后者则连接带有流接口的PL IP核下图为直接寄存器模式(Simple DMA)​...

2022-05-06 11:29:49 437

原创 burst传输 - 理解

Burst实质就是一次传输过程中,只发送一次地址,提高了传输效率。

2022-05-06 11:27:07 4586

原创 vivado工程有多个synth_1、synth_2或者impl_1,impl_2

在有多个runs的情况下,选中要运行的行为,右键点击Make Active。Design Runs在window目录下。

2022-04-26 18:04:28 2082

原创 vivado仿真出现问题:Failure: ERROR:add_1 must be in range [-1,DEPTH-1]

在进行 fir IP核仿真时出现的问题。解决方法:将滤波器的所有输入(此处为tdata / tvalid)均配置默认数据。此问题出现的原因:没有给ip的输入赋值,导致ip核运行出问题。

2022-04-15 14:11:02 1393

原创 zynq7000 PL读写DDR3----实验笔记

环境:vivado2018.3芯片: xc7z100ffg900-2本实验参考资料主要来源:https://www.eefocus.com/antaur/blog/17-08/423773_0818c.html实验目的:将ADC接收的数据先存入DDR3,待PS端读取完成后,再存入一批。1、工程规划DDR芯片的管脚是绑定到Zynq的DDR接口上的。而Zynq系统的这个DDR总线接口有是链接在其内部“Memory Interfaces”中的“DDR2/3,LPDDR2 Con..

2021-03-23 18:06:28 6153 3

原创 PL-PS 接口说明

这里写自定义目录标题UARTM_AX_GP0FCLK_CLK0FCLK_RESET0_N如何改变文本的样式插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一个自定义列表如何创建一个注脚注释也是必不可少的KaTeX数学公式新的甘特图功能,丰富你的文章UML 图表FLowchart流程图导出与导入导出导入UART串口。属于FIXED_IO-MIO[53:0]。用于打印信息。M_AX_GP0属于PS和PL间的接口。附带时钟M_AX_G

2021-03-12 10:24:34 1086

原创 CDCE62005 EVM Software使用记录:生成时钟

配置620052、填写上需要生成的时钟频率,然后点击Calculate,右侧的result frequencies会自动计算能否给出,如果可以给出,点击Apply即可。3、可以看到62005给出4个对应的时钟,点击红色选框的三角,可以更换时钟类型。...

2021-01-20 15:54:19 1440 2

原创 matlab I路为X轴,Q路为Y轴

rxi=csvread('D:\3_FC02_9009\MATLAB_code\FC9009_3g5_1024_rx1i.csv');rxi=rxi(:,2);%相当于rx(1:end,2:2)rxq=csvread('D:\3_FC02_9009\MATLAB_code\FC9009_3g5_1024_rx1q.csv');rxq=rxq(:,2);plot(rxi,rxq,'ro'); %红色圆点xlabel('x轴'),ylabel('y轴'),grid on...

2020-11-20 14:51:44 293

原创 vivado XDC / ISE UCF 约束文件

1、CLOCK_DEDICATED_ROUTEvivado XDC:set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets {clk_wiz_0/inst/clk_in1_clk_wiz_0}]ISE UCF:PIN "inst_clkgen/clkout1_buf.O" CLOCK_DEDICATED_ROUTE = FALSE;

2020-10-29 15:09:01 2394

原创 GT高速串行接口使用记录

本项目使用V7(GTH)和Z7(GTX),线速率为6.144G,已实现互通。曾遇到的问题:(PCB布线出现问题)1、P/N极性有的接反了。解决方法:接反的部分,添加极性翻转控制即可。2、GT接口同一对的RX和TX与另一板卡连接出现错位。例如V7的RX0与Z7的TX1连接,但是V7的TX0与Z7的RX3连接。解决方法:定义管脚是,只能成对定义,就像V7的RX0/TX0,Z7的TX1/RX1,即便XDC定义Z7的TX1/RX3,编译后查看管脚也是失败的。可以多定义一组,把要用的那一组的接受发送都包

2020-10-23 15:07:36 1521

原创 Out-of-Context Module Runs

[IP_Flow 19-4067] Ignoring invalid widget type specified checkbox.Providing a default widget解决方法:可以忽略此warning,但最好删除出现此问题的IP核,重新生成。

2020-07-31 15:28:16 5322

原创 [IP_Flow 19-3805] Failed to generate and synthesize debug IPs.

问题原因:路径太长了解决方法 :把工程的路径改短

2020-06-09 11:06:52 6357 2

原创 名词解释----个人理解

编译:把代码翻译成电脑能识别的机器语言(把用高级程序设计语言书写的源程序,翻译成等价的机器语言格式目标程序)。预处理:在编译之前进行的处理。在源程序中这些命令都放在函数之外, 而且一般都放在源文件的前面,它们称为预处理部分。所谓预处理是指在进行编译的第一遍扫描(词法扫描和语法分析)之前所作的工作。当对一个源文件进行编译时, 系统将自动引用预处理程序对源程序中的预处理部分作处理, 处理完毕自动...

2020-01-17 11:11:50 721

原创 LTE带宽

LTE中支持的最大带宽为20MHz,协议中采用了1200个子载波(100*12),有效带宽为12*100*15KHz=18MHz。实际中最近 IFFT点数的需要,离1200最近的是2048点,因此在发送端需要做的是2048点的IFFT,那如果是这样的话相当于在原来对应于1200个子载波 的复信号中补了848个点(也许是补的0,具体怎么操作我没有仔细研究过),那这样的话真正的带宽不应该是15KHz...

2020-01-17 11:11:23 7998

原创 VIO虚拟输入/输出

在ISE工程中,如果需要实时观察FPGA内部信号,需要借助Chipscope。Chipscope是一款在线调试工具,通过JTAG口,在线实时读取FPGA的内部信号。Chipscope中常用的调试IP有ICON核、ILA核、VIO核。Chipscope利用ICON核通过FPGA的JTAG端口与内核通信;ILA核可以用来观察FPGA内部信号;VIO核不仅可以观察信号,还可以将外部输入信号传到F...

2020-01-17 11:11:03 2424

原创 vivado自定义IP核 & 调用

生成IP核1. 准备好要用来生成ip核的模块。2.Tools —> Create andPackage IP —> Package your current project —> IP location

2020-01-17 11:10:46 1055

原创 ISE/Vivado 常见问题

Port connections cannot be mixed ordered and named:模块后面多加了逗号。

2020-01-17 11:09:40 856

原创 FTW 频率调谐字

频率调谐字(FrequencyTuningWord)AD9912是直接数字频率合成器(DDS),具有集成的14位数字到模拟转换器(DAC)。一个48位的AD9912特征频率调谐字(FTW),可以合成步骤的大小不大于4μ赫兹的频率。调谐:调节一个振荡电路的频率使它与另一个正在发生振荡的振荡电路(或电磁波)发生谐振。振荡电流是一种大小和方向都随周期发生变化的电流,能产生振荡电流的电路就叫做...

2020-01-17 10:50:18 2333 2

原创 单位

SPSSPS(sample per second,每秒采样次数),是衡量模数转换(ADC)时采样速率的单位。采样率定义为对输入信号的采样频率,采样率不仅表示模数转换器的转换速度,同时也决定了系统可处理信号的带宽范围。注意:采样速率和转换速率的区别,模数转换是先采样再转换,采样速率小于等于转换速率的采样才是有意义的。类似的单位有KSPS(每秒采样多少千次)、MSPS(每秒采样多少兆次)等。...

2020-01-08 14:56:17 282

svn下载安装及设定

svn为1.9.6.27867版本。此文档的建立时间为2017.08.22。

2018-08-08

C6678_ EMAC_boot

DSP C6678_ EMAC_boot,

2018-08-08

pg007_srio_gen2

ise srio ipcore的users guide,是ISE14.7中1.7 SRIO,很详细介绍了如何在ise和vivado调用使用srio

2018-04-04

校验码计算器

校验码计算器,可计算文件以及文本的MD5值计算,支持SHA1,使用时选择计算类型,并选择文件或输入文本内容,点击 “计算” 按钮完成校验。

2018-02-07

PL2303_Prolific_WINDOWS_DriverInstaller_v1.14.0.rar

PL2303_Prolific_WINDOWS_DriverInstaller_v1.14.0.rar

2018-02-07

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除