自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(21)
  • 收藏
  • 关注

原创 个人使用verdi技巧(持续更新)

个人使用verdi技巧(持续更新)1.找到Verdi的signal list 方便查看信号方法:打开上面菜单栏的window,然后打开signal_list的开关,然后就可以方便的查看信号。

2020-09-19 11:08:09 2582

原创 个人常用便捷linux命令

主要记录个人习惯使用的快捷命令1.terminal命令functioncommand快速打开terminalAlt+Ctrl+Tterminal分屏/切换Shift+Ctrl+T Ctrl+Page up/on-快速清空terminal 命令Ctrl+uterminal中 terminal 快速移动Ctrl+b、Ctrl+f...

2020-08-13 20:30:50 221

原创 运行vcs的./simv后,记录vcs simulation report

使用命令:./simv -l sim.log

2019-10-23 15:39:40 3111

原创 centos7 云服务器上搭建IC科研软件问题

1.在进行安装scl时候,发现安装软件的图形界面无法显示no protocol specified解决方法:切换回root用户组,并使用命令 xhost +

2019-10-08 20:16:49 224

原创 centos7 云服务器上改分辨率方法

分为三步:1.输入命令xrand2.vi .bashrc并添加以下代码其中的数字 2 代表 1920x1080,其他的分辨率可以任意选择。如0 代表1024x768, 1代表1920x1200.3.source .bashrc完成配置...

2019-10-08 17:01:47 552

原创 打两拍的源码(verilog)和电路原理图 IC笔试

打几拍,在FPGA中和IC中很常用。算是入门必备。module hunter(input a,input clk,output reg a_d2);reg a_d1;always@(posedge clk){a_d2,a_d1}<={a_d1,a};endmodule

2019-08-12 20:20:31 6963

原创 Design compiler 入门到放弃(一)Lab flow

根据synopsys design compiler workshop lab guide 书做的实验。系统是centos6.5 dc的版本是2016.03-SP1。搭建DC和搭建VCS一样,可以在网上可以找到教程。dc200703_LabGuide和lab 都在下面给出链接,失效请留下邮箱。一、主要内容本实验是lab2用中文简述每一步,即1.更新setup file,其名字在...

2019-08-01 19:48:02 6350 49

原创 perl小骆驼书的笔记(一)overview

overview1.how to exec above example in linux?chmod +x ex1.1pl./ext1.1.pl2.the flow to exec perlperl 脚本在解释执行时和shell脚本一样,自顶向下,跳过sub定义部分依次执行。3.example perl#! /usr/bin/perlprint("hello perl\n");...

2019-07-22 17:00:05 307

原创 序列检测器,状态机和触发器的关系 IC笔试

What is the minimum number of flip flops to implement a state machine to detect the bit sequence 11011001 ?解析:实现状态机检测“”11011001“”的最小触发器数目是多少?考的是状态机将要使用到的编码。1.二进制码,就是正常的顺序编码,四个状态的话就是s_0 = 2’b00;s...

2019-07-17 09:41:57 1799

原创 WIN10 ISE14.7(64bit) 报错与解决,持续更新

1.erro:palce:1012-A clock IOB/DCM component pair have been found that are not placed at an optical clock IOB/DCM site pair。解决方法 :加入了语句 NET “sclk” CLOCK_DEDICATED_ROUTE =FALSE; 即可...

2019-06-03 12:47:30 787

原创 WIN10 quartus13.1(64bit)报错与解决,持续更新

1.今天产生IP核出现了问题,产生viterbi IP核卡在了generating megacore function top-level解决方法:点击进入资源管理器,打开java(TM)platform ,发现quartus_map.exe.把它结束进程。解决效果:产生成功。...

2019-06-03 12:40:25 2308 1

原创 VCS查看覆盖率,并使用dve查看

使用的.v 文件和tb.v文件:来自于https://blog.csdn.net/sunshinelifes/article/details/905483661.首先使用vcs命令,生成simv文件vcs -f filelist.f -cm line+cond+fsm+tgl -debug_all2.然后运行simv,使用下面的命令.出现下面图片的界面说明成功。./simv -cm li...

2019-05-25 17:02:15 15018 5

原创 VCS产生vpd波形文件

1.首先有个简单的.v文件和._tb.v 文件,然后在tb文件中加入module fenpin(input clk,input rsr_n,input [7:0] div_num,output reg clk_out);always@(posedge clk or negedge rst_n) if(!rst_n) cnt<=0; else if(cnt<d...

2019-05-25 16:26:21 8720 12

原创 VCS报错与解决方案,持续更新

我使用的虚拟机,系统是centos 6.5,vcs版本2014.031.在虚拟机中每次之前可以用,后面再等一天打开虚拟机就会报错。出现关于locak文件的错误我用下面的方法解决了问题,这个问题不能根本解决问题,只能每天要用vcs都得这样做一下:①先在终端使用命令 ps auxf | grep lmgrd②然后进入 /var/tmp 目录下,把所有lock的文件移到 新建文件backup ...

2019-05-25 10:27:35 4782

原创 幂数在verilog中的表达 IC笔试

在进行工程编译的时候,发现前人的verilog 代码function函数中,有带幂的操作,于是进行了学习。(一)内容一般使用操作符“** ”表示幂。(二)用法操作符两边放数字。(三)表示的意义如5**i表示5的i次幂。工程具体例子module sunshine(input clk,input rst_n,output reg [31:0] a);parameter LO...

2019-02-19 19:33:06 12200

原创 一元约简运算符 IC笔试

今天在工程中遇到了单目运算符,一查才知道是verilog基本操作符,又称一元约简运算符。(一)内容:与 &amp;或 |非 ~(二)用法:把操作符放在前面&amp;a(三)表示的意义:首先将操作数a的第一位和第二位进行与计算,将得到的计算结果进行和第三位与计算,依次类推,直到最后一位。同理,或,非的计算。工程具体例子:// 作为一个计数器always@(posedge ...

2019-02-19 19:08:25 483

原创 VCS+Verdi 产生fsdb波形文件

首先我的VCS版本是vcs_mx_201509SP21①在你的tb文件中,加入initial begin $fsdbDumpfile("tb.fsdb");//这个是产生名为tb.fsdb的文件 $fsdbDumpvars; end②在linux命令行输入vcs -full64 -fsdb -f ***.f//其中 -full64是指linux64 启动vcs, ***.f是你...

2018-12-12 20:30:19 16184

原创 systemverilog 学习笔记(一)数据类型

一、内建数据类型小结:1.逻辑类型logic2.双状态和四状态类型1.逻辑类型(logic)logic功能(1)被作为变量(2)连续赋值(3)门单元(4)模块驱动logic注意:不能有多个结构性的驱动,如双向总线建模,双向总线建模只能用wire类型。*2.双状态数据类型(bit,shortint,byte,int,longint)和四状态数据类型(integer)(1)b...

2018-11-06 08:59:32 6200

原创 FPGA自动售卖机(verilog状态机设计)IC笔试

最近看了下IC笔试题,其中有一道题,自己花了点时间解决,为了记忆犹新,于是记录了下来。题目:设计一个自动饮料售卖机,饮料10分钱,硬币5分10分两种,并考虑找零。1.画出fsm。2.用verilog编程。3.设计工程中可使用的工具及设计大致过程。1.画出fsm第一步:定义它的输入输出。输入:a,b;其中a=1,投入5分;b=1,投入10分;输出:y,z;其中y=1,出饮料;z=1,...

2018-10-27 21:46:20 9576 6

原创 FIFO_IP核 仿真,quartus ii (内置)

年轻人,多睡觉!工作业余之际,复习下曾经学过的。害怕忘记,于是记录下来,也有一段记忆。若有错误,欢迎指正。altera 板子测试程序设计1.首先是一个计数器cnt计数到64。2.然后在cnt取0-31时,开始写入数据,写入的数据都等于cnt。3.开始在cnt取32-63时,开始读出数据。//counterreg [5:0] cnt;always@(posedge clk or ne...

2018-10-10 12:04:08 2745 5

原创 RAM_IP核 仿真,quartus ii (内置)

年轻人,多睡觉!工作业余之际,复习下曾经学过的。害怕忘记,于是记录下来,也有一段记忆。若有错误,欢迎指正。最近都在用intel altera的板子。学学里面的RAM 的使用。程序设计 1.首先是,一个计数器。循环计64个数。reg [5:0] time_cnt;always@(posedge clk or negedge rst)if(!rst) time_cnt...

2018-09-12 23:25:47 5511

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除