自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(30)
  • 资源 (23)
  • 收藏
  • 关注

原创 SERDES

一、SERDES的作用1.1并行总线接口 在SerDes流行之前,芯片之间的互联通过系统同步或者源同步的并行接口传输数据,图1.1演示了系统和源同步并行接口。 随着接口频率的提高,在系统同步接口方式中,有几个因素限制了有效数据窗口宽度的继续增加。a)、时钟到达两个芯片的传播延时不相等(clock skew)b)、并行数据各个bit的传播延时不相等(data skew)...

2019-10-11 14:58:32 1643 1

原创 vmware 14 win10上加载虚拟机系统开机黑屏解决方法

vmwae 14 win10上加载虚拟机系统开机黑屏解决方法使用管理员权限打开cmd命令窗口修复LSP在CMD中输入 netsh winsock reset然后重启

2018-12-04 17:06:04 4671 4

转载 Vivado HLS 程序优化

在使用高层次综合,创造高质量的RTL设计时,一个重要部分就是对C代码进行优化。          Vivado HLS拥有自动优化的功能,试图最小化loop和function的latency,为了实现这一点,软件会在loop和function上并行执行尽可能多的操作(比如说,在function级别上,高级综合总是试图并行执行function)。           除了这些自动优化,我们可...

2018-08-01 21:08:12 923

转载 Vivado HLS中综合时端口的综合类型

如果你对VivadoHLS中综合之后端口的ap_none之类的类型指示摸不着头脑的话,那就来一起学习一下它们是如何使用的吧。在Vivado HLS中,我们可以指定端口使用的类型,这样在对C代码进行综合的时候,就可以指定某个端口所使用的转换协议了。常见的类型有:1. ap_none         默认类型,该类型不适用任何I/O转换协议,它用于表示只读的输入信号,对应于HDL中的wire类...

2018-08-01 21:00:39 892

原创 设备树文件编译与反编译

一、设备树编译有两种方式1、将设备树文件拷贝到内核源码的arch/*(处理器平台)/boot/dts/*(厂家)/目录下,   执行make dtbs2、dtc -I dts -O dtb  *.dts > my.dtb二、设备树反编译dtc -I dtb -O dts *.dtb > my.dts...

2018-05-19 20:29:48 4528

原创 运行Qt Creator出现cannot find -lGL的问题

有两种原因,一种是没有安装libGL库,那么就安装:sudo apt-get install libgl1-mesa-dev1一种是装了 命令查看 locate libGL但是路径不对,那么就配置一下路径:$ locate libGL/usr/lib/i386-linux-gnu/mesa/libGL.so.1/usr/lib/i386-linux-gnu/mesa/libGL.so.1.2....

2018-05-16 14:42:48 1093

原创 Ubuntu扩展系统盘容量,虚拟机下

安装gparted软件sudo apt-get install gparted接下来, 我们开始用Gparted软件扩展Ubuntu目录的容量;先看操作步骤:1. 先从windows的 ntfs 分区中提出一部分作为空闲区域;2. 然后把这个空闲区域通过"之前的空余空间 / 之后的空余空间"的转移操作, 移动到 ext4挂载点的上方或下方;3. 最后再将这个临近的空闲区域合并到现有区域的末尾或者开...

2018-05-16 14:41:03 542

原创 ubuntu16.04安装iNode客户端简易教程

第一步 :准备材料下载客户端 iNodeClient_Linux64.tar.gz下载地址:https://download.csdn.net/download/su3752/10415762第二步  :选取存放位置在linux系统中创建一个文件夹用于放刚才下载的文件sudo mkdir /usr/iNode 第三步 :进入下载的文件路径进入你下载的文件所在的位置(如果不清楚文件的路径,鼠标右击文...

2018-05-15 15:16:38 1629

原创 Qspi_flash上电启动zynq应用工程

Qspi_flash启动zynq工程今天简单介绍一下从flash加载FPGA的程序,在zynq平台上,需要你调试好自己的工程,然后进行此步骤。1、首先在SDK下新建一个FSBL工程,用于第一启动配置,如图:下一步 2、建好fsbl工程后,进行如下图操作这个步骤有点多,慢慢来。注意图中的箭头部分,下面是添加进来三个文件,分别是fsbl.elf(新建fsbl工程的作用)。.bit(位流文件)。.elf...

2018-05-04 20:39:07 1080

原创 linux下查找文件的详细方法

每一种操作系统都是由成千上万个不同种类的文件所组成的。其中有系统本身自带的文件,用户自己的文件,还有共享文件等等。我们有时候经常忘记某份文件放在硬盘中的哪个地方。在微软的WINDOWS操作系统中要查找一份文件是相当简单的事情,只要在桌面上点击“开始”-“搜索”中就能按照各种方式在本地硬盘上,局域网络,甚至在INTERNET上查找各种文件,文档。  可是使用Linux的用户就没有那么幸运了,在Lin...

2018-04-04 10:34:53 364

转载 zynq linux 初步接触基础知识

1、相关网站zynq linux软件网站:www.wiki.xilinx.comzynq u-boot github地址:https://github.com/xilinx 2、启动过程3、u-boot配置3.1 下载u-bootUBOOT有多个版本,可以去网站上下载相应的版本。14.5及早期的版本对Micron的QSPI Flash芯片支持不完整。建议下载后期版本$ git clone git:...

2018-03-20 14:08:38 711

转载 LINUX下升级Gcc

在学习或者编写C/C++程序的时候,可能由于编译器的版本较低,导致一些新增的知识点在加入到程序编译时,会出现一些问题,例如编译完成之后生成可执行文件,但你在执行可执行文件的时候,系统会报这样的错误:[cpp] view plain copy[root@localhost ~]# ./a.out     ./a.out: /usr/lib/libstdc++.so.6: version `GLIBC...

2018-03-12 15:50:00 1062

原创 xilinx——zynq7000之设备树生成

Device Tree是一种描述硬件的数据结构,由一系列被命名的结点(node)和属性(property)组成,而结点本身可包含子结点。所谓属性,其实就是成对出现的name和value。在Device Tree中,可描述的信息包括(原先这些信息大多被hard code到kernel中):CPU的数量和类别,内存基地址和大小,总线和桥,外设连接,中断控制器和中断使用情况,GPIO控制器和GPIO使用...

2018-03-12 10:25:46 3663

转载 Xilinx_Zynq_QSPI启动的编译、烧写、及配置过程步骤基于zedboard

 一、 交叉编译器:使用xilinx提供的编译器arm-xilinx-linux-gnueabi- Version 4.6.1版本。1. 添加交叉编译器的环境变量:打开~/.bashrc文件,在最后添加两行(按实际情况添加具体路径)export PATH=$PATH:~/xillinx/xilinx/CodeSourcery/Sourcery_CodeBench_Lite_for_Xilinx_G...

2018-03-09 09:26:28 3347

原创 FPGA视频、图像缩放算法介绍

视频缩放算法介绍:视频缩放算法包括最邻近插值,双线性插值,双线性三次插值,Lanczos插值算法等。算法的效率是最邻近算法>双线性插值算法>双线性三次插值>Lanczos算法,而算法的效果则恰恰相反######双线性插值在FPGA实现图像双线性插值,比较复杂。结果验证和 FPGA自带的效果差不多。占的资源比较少。          双线性插值的框架图:     

2018-01-31 11:44:50 8883 2

原创 图像缩放算法详解有C++代码

转载别人的,但是这篇文章写得确实太好了,所以想分享出来,可是原创文章地址找不到了 ,很可惜。图像缩放算法摘要:首先给出一个基本的图像缩放算法,然后一步一步的优化其速度和缩放质量;高质量的快速的图像缩放 全文 分为:     上篇 近邻取样插值和其速度优化     中篇 二次线性插值和三次卷积插值     下篇 三次线性插值和MipMa

2018-01-31 10:22:55 5220 1

转载 lattice若干bug

相信很多玩FPGA的朋友都听过Altera和Xilinx,但却很少听说Lattice。目前,Lattice已经被Canyon Bridge Capital Partners收购了。Lattice这家公司主打低成本的FPGA(放心,高端领域是完全见不到他的),所以受到很多小公司的青睐,但是,便宜没好货,Diamond很难用。所以,笔者把发现到的bug记录下来。首先

2018-01-26 17:39:11 1402 1

转载 Lattice ddr3教程全攻略之仿真篇

对于这部分,首先建议安装好diamond3.1,modelsim se 10.1a这两个软件,如果想仔细分析DDR3的IP部分,可仔细阅读DDR3 SDRAM Controller IP Core User’s Guide,下面用ug代指。官网上可以download,还有DDR3的基本知识,可以到网上download《高手进阶,终极内存技术指南——完整进阶版》,这个文章值得一读。   

2018-01-24 15:40:06 1387

原创 Lattice系列FPGA之SerDes

FPGA发展到今天,SerDes(Serializer-Deserializer)基本上是标配了。从PCI到PCI Express, 从ATA到SATA,从并行ADC接口到JESD204, 从RIO到Serial RIO,…等等,都是在借助SerDes来提高性能。SerDes是非常复杂的数模混合设计,用户手册的内容只是描述了森林里面的一棵小树,并不能够解释SerDes是怎么工作的。SerDes怎么

2018-01-24 15:18:43 2346

转载 Lattice系列FPGA入门之FPGA选型

网站:http://www.cnblogs.com/emouse/archive/2013/07/26/3217244.html一、获取芯片资料:要做芯片的选型,首先就是要对有可能要面对的芯片有整体的了解,也就是说要尽可能多的先获取芯片的资料。现在FPGA主要有4个生产厂家,ALTERA,XILINX,LATTICE和ACTEL。获取资料最便捷的途径就是这些生产厂家的官

2018-01-24 15:10:35 6427

转载 Lattice Diamond3.10与modelsim10联合仿真环境设置

Lattice FPGA开发环境在仿真时可以使用modelsim,相比于Diamond自带的Active-HDL仿真工具,具有更好的交互性以及更便捷的可操作性。与其他FPGA的开发环境一样,需要设置联合仿真环境。本文使用的环境为:Diamond 3.9 modelsim se 10.0c。具体安装步骤请参考相关文档,modelsim在安装后需要配置好环境变量。 其中modelsim s

2018-01-24 10:41:13 2631

转载 Lattice Diamond 3.5简易教程(二)------软件的使用

二、软件的简单使用工程建立后我们就可以进行程序的编写添加了。选择左下角file list 选项卡这里主要是工程的信息。在input files 上右键弹出选项addànew file。。。在这选择添加文件的类型文件名和文件的路径根据实际情况添加。由于diamond的文本编辑不是特别好用,所以通常都用第三方的文本编辑器。

2018-01-23 13:49:31 10566 2

转载 Lattice Diamond 3.5简易教程(一)------工程的建立

测试环境(win10 x64 软件Diamond 3.5 x64)软件下载地址:http://files.latticesemi.com/Diamond/3.5/3.5.0.102_Diamond_x64.zip 一、建工程打开软件如图所示:虽然diamond的中文资料并不是特别多,但是软件中自带的英文资料放在了很显眼的位置,如上图红色圈的地

2018-01-23 13:47:33 8056 1

转载 图像处理,机器视觉,机器学习等

1、小魏的修行路  http://blog.csdn.net/xiaowei_cqu  2、晨宇思远(邹宇华 北京航空航天大学) http://blog.csdn.net/chenyusiyuan 3、Rachel Zhang(张睿卿)的博客 http://blog.csdn.net/abcjennifer 4、zouxy09(邹晓艺) 华南理工大学 http://blog

2017-12-03 10:46:30 440

原创 制作ZedBoard-linaro-desktop-ubuntu全过程之编译BOOT.bin

制作ZedBoard-linaro-desktop-ubuntu全过程之编译BOOT.bin 作者:Alston若水 1、准备阶段 (1)目标板子:ZedBoard REV D (2)Ubuntu环境:ubuntukylin-17.04-enhanced-amd64(我安装在了虚拟机下面) (3)xilinx vivado 2015.4 (4)外文参考资料: https://wi

2017-10-23 19:46:55 768

原创 制作ZedBoard-linaro-desktop-ubuntu全过程之构建硬件运行环境

制作ZedBoard-linaro-desktop-ubuntu全过程之构建硬件运行环境 作者:Alston若水 1、准备阶段 (1)目标板子:ZedBoard REV D (2)xilinx vivado 2015.4 (3)官网源码https://wiki.analog.com/resources/fpga/xilinx/kc705/adv7511 HDL源码 Ps端

2017-10-23 19:38:22 814

原创 制作ZedBoard-linaro-desktop-ubuntu全过程之编译Linux内核

制作ZedBoard-linaro-desktop-ubuntu全过程之编译Linux内核 作者:Alston若水1、准备阶段 (1)目标板子:ZedBoard REV D (2)Ubuntu环境:ubuntukylin-17.04-enhanced-amd64(我安装在了虚拟机下面) (3)下在Linux内核源码:https://gi

2017-10-23 16:17:26 1090

原创 制作ZedBoard-linaro-desktop-ubuntu全过程之编译u-boot

制作ZedBoard-linaro-desktop-ubuntu全过程之编译u-boot 作者:Alston若水1、准备阶段 (1)目标板子:ZedBoard REV D (2)Ubuntu环境:ubuntukylin-17.04-enhanced-amd64(我安装在了虚拟机下面) (3)u-boot源文件,https:

2017-10-23 15:47:33 863

原创 制作ZedBoard-linaro-desktop-ubuntu全过程之运行linaro系统

制作ZedBoard-linaro-desktop-ubuntu全过程 1、准备阶段 (1)目标板子:ZedBoard REV D 系统信息:(装完以后的,比官方带的系统要更新很多东西) Model: Zynq Zed Development Board Board: XilinxZynq Linux version4.9.0 (gcc version 4.9.2 (Source

2017-10-23 14:45:29 6024 8

原创 WIN7+OpenCV3.3+QT5.9.1+Cmake3.9.1+VisualStudio2015环境配置经验分享

0、写在前面最近在学习Opencv的知识,作为图像处理的小学生,光是配置这个环境就浪费了不少时间,写在这里是为了让他人少走弯路。好了,废话不说了,直接讲配置过程。1、准备基本软件环境系统:win7 64bit。OpenCV3.3   :OpenCV下载地址:http://opencv.org/downloads.htmlQT5.9.1:Qt Creator下载地址:https:

2017-09-09 15:34:55 2399

VESA and Industry Standards and Guidelines for Computer Display Monitor Timing

vesa 显示标准含多种分辨率 Version 1.0, Rev. 13 February 8, 2013

2021-01-05

VESA eDP_v1_3 (Embedded displayPort Standard).7z

VESA Embedded DisplayPort Standard This standard defines requirements and options of a standardized display panel interface for embedded display applications. It is based on the VESA DisplayPort Standard Version 1.3 and includes implementation options recommended for consideration by the system integrator

2020-03-05

OV5640+lication+Notes+(with+MIPI+Interface)++R2.15.docx

OV5640 Auto Focus Camera Module Application Notes (with MIPI Interface)

2019-11-15

Realtek RTL8211F(D)(I)-CG DataSheet 1.9.pdf

The Realtek RTL8211F-CG/RTL8211FD-CG/RTL8211FI-CG/RTL8211FDI-CG is a highly integrated Ethernet transceiver that complies with 10Base-T, 100Base-TX, and 1000Base-T IEEE 802.3 standards. It provides all the necessary physical layer functions to transmit and receive Ethernet packets over CAT.5 UTP cable. The RTL8211FI and RTL8211FDI are manufactured to industrial grade standards.

2019-08-23

IEEE Std 802.3-2008.7z

IEEE Std 802.3-2008 2012 2015 等多个文档

2019-08-07

iNodeClient_Linux64.tar.gz

iNodeClient_Linux64.tar.gzubuntu16.04安装iNode客户端简易教程ubuntu16.04安装iNode客户端简易教程ubuntu16.04安装iNode客户端简易教程

2018-05-15

ARM嵌入式Linux系统开发详解PPT

ARM嵌入式Linux系统开发详解PPTARM嵌入式Linux系统开发详解PPTARM嵌入式Linux系统开发详解PPTARM嵌入式Linux系统开发详解PPTARM嵌入式Linux系统开发详解PPT

2018-03-29

深度实践嵌入式Linux系统移植源代码

深度实践嵌入式Linux系统移植深度实践嵌入式Linux系统移植深度实践嵌入式Linux系统移植深度实践嵌入式Linux系统移植深度实践嵌入式Linux系统移植

2018-03-29

精通ARM嵌入式Linux系统开发代码

精通ARM嵌入式Linux系统开发《精通arm嵌入式linux系统开发(含cd光盘1张) 》全书共25章,从嵌入式处理器arm开始,讲解了arm处理器的资源、arm的指令集、ads开发工具、嵌入式系统硬件环境的构建、bootloader、linux内核移植、嵌入式文件系统、嵌入式linux多任务程序开发、嵌入式linux设备驱动开发、嵌入式linux网络程序开发、minigui图形界面开发、设备驱动开发案例、综合案例等内容。书中通过大量的例程来讲解知识要点,并提供了大量极有参考价值的开发案例,读者可以通过这些例程和开发案例对嵌入式linux开发有一个系统的学习和提高。

2018-03-29

lattice diamond license

lattice diamond license lattice diamond license lattice diamond license lattice diamond license lattice diamond license lattice diamond license

2017-12-23

OpenCV图像处理编程实例源码20160801

《OpenCV图像处理编程实例》-源码,最新更新20160801,支持OpenCV3.1+VS2015 VS2015 + OpenCV3.1.0 需重新编译opencv_contrib,编译流程见本书附录2——CMake 编译 OpenCV3.1 源码; 注:VS与OpenCV版本不同,或许会导致程序出现问题,如遇问题,欢迎将问题发到邮箱。 更新说明: 修复了若干cpp中的错误及BUG,另需说明的是本书部分cpp支持最新更新的OpenCV2.4.13版本, 但OpenCV2.4.X架构上与OpenCV3.1.X相差较多,建议读者更新到将OpenCV更新到3.1.0。

2017-10-31

linaro-precise-ubuntu-desktop-20121124-560.tar.gz的镜像文件

linaro-precise-ubuntu-desktop-20121124-560.tar.gz的镜像文件linaro-precise-ubuntu-desktop-20121124-560.tar.gz的镜像文件linaro-precise-ubuntu-desktop-20121124-560.tar.gz的镜像文件linaro-precise-ubuntu-desktop-20121124-560.tar.gz的镜像文件

2017-10-23

沈晶刘海波VC++数字图像模式识别典型案例详解随书光盘

沈晶刘海波VC++数字图像模式识别典型案例详解随书光盘完整版沈晶刘海波VC++数字图像模式识别典型案例详解随书光盘沈晶刘海波VC++数字图像模式识别典型案例详解随书光盘沈晶刘海波VC++数字图像模式识别典型案例详解随书光盘

2017-09-15

Visual C++数字图像模式识别典型案例详解沈晶刘海波pdf

沈晶刘海波pdfVisual C++数字图像模式识别典型案例详解完整版的,很清晰!沈晶刘海波pdfVisual C++数字图像模式识别典型案例详解完整版的,很清晰!沈晶刘海波pdfVisual C++数字图像模式识别典型案例详解完整版的,很清晰!

2017-09-15

Visual Studio 2015 高级编程书中源代码

精通Visual Studio 2015包含大量有助于提高编程效率的新功能以及专用于跨平台开发的新工具。综合性指南 Visual Studio2015高级编程(第6版) 遵循“由程序员为程序员而著”的理念,涵盖所有增强的开发工具,帮你快速掌握Visual Studio的新变化。本书独特的以IDE为中心的方法揭示Visual Studio的诸多秘密,是开发新手和从早期版本升级的开发人员必备的完美参考资料。你可通过本书学习和运用改进的主题选项、定制功能、生成ASP.NET代码的快捷方式、增强的应用开发功能等。

2017-06-07

cc2530核心板原理图

cc2530核心板原理图

2017-05-26

altium designer cc2530 PCB库原理图文件pcb文件

altium designer cc2530库altium designer cc2530库altium designer cc2530库altium designer cc2530库

2017-05-26

altium designer14 license

altium designer14 license 适合所有版本,里面有破解方法,替换.exe

2017-05-26

Vivado license2016.4

Vivado license

2017-03-12

Vivado license

包括HLS、AccelDSP、System Generator、软硬CPU、SOC、嵌入式Linux、重配置等等功

2017-03-12

Vivado HLS Design Flow Lab

This lab provides a basic introduction to high-level synthesis using the Vivado HLS tool flow. You will use Vivado HLS in GUI mode to create a project. You will simulate, synthesize, and implement the provided design.

2017-03-12

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除