自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(61)
  • 资源 (24)
  • 收藏
  • 关注

原创 此站制作学习笔记了,更多相关分享都在知乎Trustintruth

我的知乎主页此站制作学习笔记了,更多相关分享都在知乎Trustintruth,欢迎大家关注

2020-05-25 16:17:35 377

原创 IC慢慢学大目录(持续更新,建议收藏)

近一年一直在做数字IC知识整理,现在整理出一个大目录,也希望关注我呀!这边已经不再更新了,谢谢大家的关注。1.FPGA初始系列FPGA初始——UART通信FPGA初始——SPI通信FPGA初始——VGAFPGA初始——自制CPU(上)FPGA初始——自制CPU(中)(文末含源码)FPGA初始——自制CPU(下)2.FPGA奈克斯特系列、FPGA奈克斯特——中值滤波与均值滤波FPGA奈克斯特——Sobel边缘检测FPGA奈克斯特——AMBA(AHB)总线初识及接口定

2020-06-20 13:46:21 1280

原创 makefile调用VCS及verdi

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities继上次使用VCS基础操作和makefile的书写,如果我们最后不使用vcs而是使用verdi该如何调用呢?和上次一样,我们同样要把我们的.v文件和tb文件的路径放到file_list.f中(上次是file.list)之...

2020-02-12 22:18:51 5051 1

原创 gvim中一些基础操作命令

如何对gvim界面自定义(设置自己喜欢的gVim界面):在Terminal中输入gvim ~/.vimrc删除类操作:x:删除光标所在的字符;dw:删除光标所在的单词;dd:删除当前行;d$ :删除光标至行尾的所有字符;ndd :删除n行,n为数字;dG :删除当前行到最后一行;复制黏贴类操作yw:复制光标所在的单词;y$:复制光标至行尾的所有字符;...

2020-01-30 17:22:21 1487

原创 Chisel(六) 使用chisel设计

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities通过之前对Scala和chisel的学习,我们对Scala已经有所了解。设置下面的代码会下载Chisel所依赖的库。In[]:val path = System.getProperty("u...

2019-10-18 14:42:06 828 2

原创 Chisel(五)chisel基础知识

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities首先几个问题是我们在一开始就要牢牢记住的。1.chisel是寄宿在Scala里的语言,本质是Scala,所以scala的语法在chisel中也可以用,比如Scala的基本值等2.由于在Verilog中存在不可综...

2019-09-21 18:28:20 1544

原创 Chisel(四)Scala语法 操作符

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activitiesScala追求的是纯粹的面向对象,不推荐不属于面向对象的基本类型及其操作符。Scala为了实现这些基本类型,实际在Scala标准库里定义了“classByte”、“classShort”、“classChar”、...

2019-08-19 14:38:43 484 2

原创 Chisel(三) Scala语法 类与对象

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities在Scala中,类是使用关键字“class”开头的代码定义的,定义完成类之后,就可以“new类名”的方式来构造一个对象,而这个对象的类型就是类。在类之中,可以定义val或var类型的变量,也可以定义“def”函数,这...

2019-08-18 15:36:19 457

原创 Chisel(二) Scala语法 变量与函数

变量:Scala在定义一个变量时,必须在其变量名前添加关键字“var”或“val”这两者的区别是“var”修饰的变量可以新赋值,并把原值抛弃,而用了“val”修饰的变量,则被禁止重新赋值。前者在定义后只可以修改,而后者是只能读不能写的变量。在定义变量时,Scala推荐的变量名命名方式是“驼峰命名法”,每个单词的首字母大写,变量名和函数名以小写字母开头,类、对象和特质以大写字母开头。首次定义...

2019-08-16 15:38:25 803

原创 Chisel(一)初识

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities这个夏天,一个很奇妙的机会,突然触碰到一个全新的东西,那就是Chisel。首先感谢 _iChthyosaur的博客 https://blog.csdn.net/qq_34291505/article/details/...

2019-08-13 14:10:09 2238

原创 python中“(.*)” 、 “(.*?)”的区别

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities简单说,使用“(.*)”得到的是只有一个元素的列表,里面是很长的字符串“(.*?)”则是得到包含几个元素的列表,每个元素直接对应原来文本中不同的位置匹配的项。例如:十个人肩并肩的并排走着,使用“(.*)”的取到...

2019-08-07 17:16:13 9208 2

转载 【转】Python脚本运行出现语法错误:IndentationError:unexpected indent

【问题】一个python脚本,本来都运行好好的,然后写了几行代码,而且也都确保每行都对齐了,但是运行的时候,却出现语法错误:IndentationError:unexpected indent【解决过程】1.对于此错误,最常见的原因是,的确没有对齐。但是我根据错误提示的行数,去代码中看了下,没啥问题啊。都是用TAB键,对齐好了的,没有不对齐的行数啊。2.以为是前面的注释的...

2019-08-05 14:56:12 143

原创 扰码器(三)并行扰码器综述及设计思路

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities经历了串行扰码器的设计后,我们今天来认真讨论一下扰码器的并行化。在许多工程项目中,在通信接口的设计中,通信协议对于扰码器的工作频率要求非常高,但是由于串行扰码器是1bit进行的,如果再要求频率过高的话,FPGA是无...

2019-07-03 14:24:57 2339

原创 扰码器(二)串行扰码器综述及设计思路

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities哈哈哈,经历了毕设与论文之后的博主终于回来更博了。今天我们的目标是解决串行扰码器首先扰码器的作用就是用来将有序数据流变成随机(无序)数据流。而且不是简单的无序,是要求扰码之后的数据流是类白噪声数据流。而且扰码...

2019-06-19 13:56:01 3030 1

原创 扰码器(一)扰码器综述及设计思路

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities首先,扰码:扰码的目的是抑制线路码中的长连“0” 和长连“1” ,便于从线路信号中提取时钟信号。由于线路信号仅通过扰码,所以SDH的线路信号速率与SDH电口标准信号速率相一致,这样就不会增加发端激光器的光功率代价。...

2019-05-07 17:55:58 5461 6

原创 关于扰码器设计的前期铺垫

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities最近在设计扰码解扰,比较麻烦。前期铺垫文就不在烘托背景与应用。首先扰码器的设计离不开移位寄存器,那么移位寄存器的设计,首先长度即移位寄存器的寄存器个数应该由输入位长决定,但是输入与输出之间的关系,例如第一位输入和第...

2019-05-06 17:21:19 512 1

原创 前路漫漫,IC之路注定孤独--记一次分享演讲

前几天去做了一次分享,感触颇深。本以为IC已经火热起来了,但是大家的热情完全被软件吸引去了。高薪的诱惑确实让人难以拒绝。看来IC路还是暂不拥挤。今天把发言稿整理出来,希望能够去帮助有心作为电子的人吧。大家好,我叫 ,今天受邀代表电子工程学院FPGA创新实验小组来为大家分享经验。今天的分享主要分为四部分:实验室方向,我的学习历程,为大家推荐的书籍和扩展部分。FPGA...

2019-04-29 21:40:46 410 1

原创 分数分频的FPGA实现

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities分频对于许多FPGA的学习者来说很常见,无论是偶数分频还是奇数分频。但是分数分频在我们制作时并不常见。现在我们来说分数分频。分数分频顾名思义分频比并不是一个整数,而是一个分数。往常我们接触的积分频,偶分频分频比都是...

2019-04-15 20:38:04 1558 2

原创 RISC-V官网漫游指南

许多人在听说RISC-V之后想在他的官网上搜寻一些资料,可又无奈于他全英的官网。今天我们一起走进RISC-V的官网首先打开网站 首先对RISC-V的基本简介RISC-V (pronounced “risk-five”) is an open, free ISA enabling a new era of processor innovation through open stand...

2019-01-23 16:39:00 1464 1

原创 20考研规划及寒假复习小建议

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities随着2018年的轻声离开,19考研初试也告一段落。作为19考研的亲历者,无论结果我都希望能够和大家分享考研复习和应试的经验。我本科读的专业是集成电路设计与集成系统,研究生报考的也是电子科学与技术方向,希望还是能够就...

2019-01-08 18:14:17 815 3

原创 建立时间和保持时间简述

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities在数字电路时序分析设计中,我们经常会看到建立时间和保持时间,首先我们使用最白话的语言解释一下什么是建立时间,什么是保持时间:建立时间:在时钟沿到来之前,输入数据需要提前准备好的时间。保持时间:在时钟沿触发之后输...

2018-07-14 16:14:19 2453 1

原创 深度学习中的激活函数SoftMax函数的FPGA设计与实现(三)(new trip)

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities如果我们之前做的是一个过河的独木舟的话,或许发现了构建桥的蓝图了就像刚这句话一样,之前我们做的努力或许没有错,但是他最终就实现了功能而已,并不是一个最优方案,或者换句话说,之前的方法我们速度与面积,我们都没有优势。...

2018-07-14 14:32:50 2095 14

原创 FPGA 数字电路设计阶段总结2018.7

这几天忙里偷闲的把之前的笔记整理了一下发到了博客上边,总结下了这一学期在FPGA上前进的极其有限。完成的工程只有完整的只有CPU(单周期,多周期,流水线),但是在学期初在softmax实现上和老师以及学长那边开阔了不少的眼界。随着课程的深入(主要是数字集成电路和计算机组成原理实践两节课给予的帮助非常非常大),真的感受到了自己接触到的实在太浅显,甚至都没有真正的体现出FPGA的优势。在EDA中真正的...

2018-07-08 17:00:24 683

原创 不务正业学Python(一)

Python学习:1.Python的哲学就是简单优雅,尽量写容易看明白的代码,尽量写少的代码2.Python适合开发那些应用?首先网络应用,包括网站,后台服务;其次是许多日常需要的小工具,包括脚本任务;另外就是把其他语言开发的程序再包装起来,方便实用。3.Python是解释型语言,运行速度慢,且代码不能加密。c语言不用发布源代码,只需要把编译后的机器码发布出去。凡是编译型的语言,都没有这个问题,而...

2018-07-08 16:44:25 225

原创 FPGA 及数字电路基础小知识(四)

在Xilinx FPGA中,块RAM是按照列来排列的,这样保证了每个CLB单元周围都有比较接近的块RAM用于存储和交换数据。与块RAM接近的是硬核乘加单元,这样不仅有利于提高乘法的运算速度,还能形成微处理器的雏形,在数字信号处理领域非常实用。例如,在Spartan 3E系列芯片中,块RAM分布于整个芯片的边缘,其外部一般有两列CLB,如图4-120所示,可直接对输入数据进行大规模缓存以及数据同步操...

2018-07-08 16:38:42 408

原创 LCD12864常用管脚

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activitiesLCD12864:液晶显示模块,横向可以显示128个点,在竖向显示64个点。引脚定义从1至20脚定义:1:VSS,接地端2:VDD,电源正,接+5V3:VO,对比度调整,一般接+5V就行了4:D/I...

2018-07-08 16:36:39 10534 1

原创 FPGA 及数字电路基础小知识(三)

模块输入是时钟和复位,输出为模块图转化成Verilog code 声明模块,用module来声明,之后写输入输出列表。输入只能是wire,因为只有线性才能接入。output可以wire,也可以是reg。最小逻辑单元 slice,一个slice上有多少个lut,FPGA内部最主要的、最需要关注的部件是CLB(Configurable Logic Block,可配置逻辑块)、Input/Output ...

2018-07-08 16:34:33 1502

原创 FPGA 及数字电路基础小知识(二)

verilog Coding错误类型命名1.信号_n一般表示低有效,信号定义寄存器溢出 一定要写default,以防跑飞封装成ip1.新建一个工程2.属性设置3.synthesis 生成ngc.file4.生成一个只含端口信号的顶层文件5.工程B中同步复位和异步复位 复位信号起作用的时间是否与时钟对齐同步复位的好处在于他只在时钟信号沿触发,进行系统复位,降低了亚稳态的出现概率,但他需要消耗更多的器...

2018-07-08 16:29:36 677

原创 FPGA 及数字电路基础小知识(一)

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities布斯算法:输入两个信号a,b。输出是一个数值m = a x b。对于N位乘数Y,布斯算法检查其2的补码形式的最后1位和一个隐含的低位,命名为Yi-1,对于不同的i,考察Yi和Yi-1,当两位相同时,存放积的累加器P...

2018-07-08 16:27:12 1783

原创 CORDIC算法细则

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities算是回来补坑吧,之前的博客中使用到了CORDIC算法的应用,但是没有详细的讲,今天我们回来抛去其他的实现,再讲一讲CORDIC算法。之前的CORDIC文章https://blog.csdn.net/stanary/...

2018-07-08 16:24:02 6917

原创 自制CPU(五) 展望

本次CPU暂时告一段落了,但是现阶段的CPU可执行命令少,面积大,速度慢,频率上不去。问题还是有很多的。包括中断和转发功能没有书写,甚至现在写的都不能叫做CPU。下一阶段继续书写吧,可能考研结束之后会重新在写一写。在月中再回来补一份面积报告和时序报告和基础部件详细的说明。希望大家继续关注吧。更多代码也都会放在下载频道...

2018-07-07 22:20:53 576

原创 自制CPU(四)程序编写

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities在我们设计出来前边三种CPU之后,我们来进行程序的编写。所谓程序的编写,就是;利用我们写好的CPU,根据我们可执行的指令集,来编写一段程序,可一直用我们的CPU来完成。首先,我们在写程序之前,确定你的CPU可以...

2018-07-07 22:15:14 4121

原创 自制CPU(三)流水线

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities经过上两篇文章的阅读,大家应该清楚自己的CPU大致是如何处理数据的,而又是如何执行指令的。我们现在来在简略的说一下流水线CPU的设计。(源码在下载页,请自取)流水线CPU的基本数据通路和单周期没有什么太大区别,而且...

2018-07-07 21:43:47 3174

原创 自制CPU(二)多周期

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities接上次,我们简单的说了一下单周期的理解,下面我们说一下多周期。单周期每一条指令都是一个时钟周期结束。但是不同类型的指令可能进行的步骤不同,导致最终CPU的频率上不去,这是由于木桶原则,时钟必须大于最长一条指令完成的...

2018-07-07 18:48:01 933

原创 自制CPU(一)单周期

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities离开博客许久了,今天回来写一篇这个学期一直在做的CPU的文章。设计是基于MIPS指令集的单周期,多周期,流水线CPU。最终实现也是在FPGA板上跑了一个小程序,开发版使用的是basys3.基础指令集等在此就不在为大...

2018-07-06 12:18:57 2771

原创 深度学习中的激活函数SoftMax函数的FPGA设计与实现(二)(CORDIC)

这次我们来继续设计我们的SoftMax函数实现。上次我们将SoftMax函数进行了简单的分析,发现算法的实现第一个难点就是如何实现指数函数。这次我打算囫囵吞枣的来简述一下指数函数的实现。在最初思考如何去实现指数函数的时候,脑海中有两种思路,一是使用MATLAB对指数函数进项采样,再以自变量值位地址位(假设地址位共8位,自变量值位高四位,所以每个数据就会有8位的字长),函数值为存储数据存储进ROM中...

2018-03-23 17:53:34 3166 1

原创 光立方,动手搭——原理简述

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities在光立方的制作中,我们一般选择一些单片机作为控制中心,而在本次的制作过程中,我们使用了STC89c5A60S2来实现。驱动方式利用人眼视觉暂留特性,利用逐层扫描的方式,来达到显示各种图案的目的。常见的coms锁存器...

2018-02-13 11:07:44 10003 1

原创 光立方,动手搭——动手焊接

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities假期过半,计划的复习没怎么好好做,倒是不务正业的自己焊了个光立方。焊来焊去还有一些还有点不方,倒不如管它叫做光不方呢...闲话不说了,今天首先为大家分享光立方的搭建焊接的经验。首先我们得到的就是这样的一大包L...

2018-02-12 22:07:35 4231

原创 深度学习中的激活函数SoftMax函数的FPGA设计与实现 (一)

学习更多相关知识,关注博主知乎账号,用户名Trustintruth https://www.zhihu.com/people/suo-yi-xin-90/activities近期一来希望趁着假期可以充实一下自己,二来想做一些算法的东西,最终决定试一试水深度学习中的激活函数。计划用一段时间将这个不算是很难得算法使用FPGA实现出来。在收集资料的阶段,在博客和知乎中找了许多许多的资料,在此...

2018-01-23 22:25:02 4137 4

原创 科技文档,报告等书写规格

最近几天在做比赛,比赛之后不可避免的就是写报告。报告就是让人头大的事。今天分享一下科技类文档的书写要求4(1)字体统一,行间距一致。这是最基础的,但是也是非常不好把控的。如果别人拿到你的文档之后,发现你的字都不是统一的话,那真的第一印象就是你真的没有重视这个事情。一般文档的字体为 汉字:宋体 四号字, 英语 : Times New Ramar ,标题为黑体。(2)在科技文档中不要出现第

2017-12-15 19:09:44 622

基于PEG算法的LDPC中H矩阵构造MATLAB

基于PEG算法的LDPC中H矩阵构造MATLAB,亲测可用,适合高码率大矩阵构造(码率可达到0.89)

2020-05-11

比赛用板-PGL22G板卡资料.rar

使用紫光平台,黑金板卡实现功能,绝对超值划得来,试验全部说明以及解释算法等基础实践部分,划得来啊啊啊啊啊啊啊!!!!

2019-11-25

紫光SOBEL边缘检测例程.pdf

使用紫光平台,黑金板卡实现功能,绝对超值划得来,试验全部说明以及解释算法等基础实践部分,划得来啊啊啊啊啊啊啊!!!!

2019-11-25

紫光DDR3读写测试实验.pdf

使用紫光平台,黑金板卡实现功能,绝对超值划得来,试验全部说明以及解释算法等基础实践部分,划得来啊啊啊啊啊啊啊!!!!

2019-11-25

紫光sd卡读写实验.pdf

使用紫光平台,黑金板卡实现功能,绝对超值划得来,试验全部说明以及解释算法等基础实践部分,划得来啊啊啊啊啊啊啊!!!!

2019-11-25

紫光I2C接口EEPROM实验.pdf

使用紫光平台,黑金板卡实现功能,绝对超值划得来,试验全部说明以及解释算法等基础实践部分,划得来啊啊啊啊啊啊啊!!!!

2019-11-25

紫光LED流水灯实验及仿真

使用紫光平台,黑金板卡实现功能,绝对超值划得来,试验全部说明以及解释算法等基础实践部分,划得来啊啊啊啊啊啊啊!!!!

2019-11-25

四人投票选择器

四人投票选择器,用来选择四人投票的选择器,最简单的FPGA小程序

2018-07-15

W5500网络扩展板介绍

W5500网络扩展板介绍,有基础的讲解,教你如何使用该扩展板

2018-07-15

简历模板建立大全写简历

建立大全,各式各样的简历模板,一有尽有,轻松写简历

2018-07-15

FPGA流水线MIPS指令集CPU

这是一个基于MIPS指令集的五级流水线CPU设计代码,该设计部分代码在单周期中,请自行下载,请在使用代码前阅读博文。

2018-07-07

FPGA单周期MIPS指令集CPU

这是一个基于MIPS指令集的单周期CPU涉及代码,设计思路和通路图均在博客中,欢迎阅读

2018-07-07

单周期CPU指令数据通路

MIPS指令集的本文档为一份单周期CPU的指令数据通路,内部部件连线,包括三种指令的数据通路

2018-07-06

ALU FPGA实现

此为一个alu的FPGA实现,拥有alu的基础的八种功能。需要输入32bit

2018-06-29

FPGA简单小器件

此压缩包中含有多种简易器件的描述,包括编码器,译码器,数选器,加法器等

2018-06-29

蓝牙模块FPGA驱动

此设计是蓝牙模块的FPGA驱动,包括UART通信,可实现蓝牙驱动通信。

2018-06-29

FPGA设计简易时钟

此设计是数字时钟,包括ise工程,有闹钟,时钟,秒表,倒计时等功能,应用于CPU设计之中。希望下载代码的不是XUPT的,更不要是107的。

2018-06-29

TCL语言的PPT

TCL的PPT,简述TCL使用规范,在Linux操作系统下的基础操作

2018-06-27

W5500 TCP/IP 头文件

此为W5500头文件,可用来区分模块划分,内部连线连线方式

2018-06-27

W5500 TCP/IP 发送数据组合

此代码为W5500的数据组合操作代码,使用此代码可将多项数据组合为合法数据发送

2018-06-27

W5500 TCP/IP SPI发送控制

此代码为W5500驱动程序中使用SPI接口通信的驱动控制代码

2018-06-27

W5500 TCP/IP状态机

此代码为W5500驱动使用TCP连接时所配置寄存器用状态机代码

2018-06-27

ov7670 basys3,zybo Verilog代码

ov7670Verilog代码,可用于basys3,zybo,并可直接接入VGA

2018-06-27

超前进位加法器FPGA

此设计是超前进位加法器,包括ise工程,应用于CPU设计之中。

2009-02-10

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除