自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Rill的专栏

make the change.

  • 博客(156)
  • 资源 (50)
  • 收藏
  • 关注

原创 关于使用git进行代码托管下文件的恢复

引言git是一款很好用的代码托管工具。但是如果发生了误操作,丢失的文件如何找回呢?本小节就通过亲身经历来解决这个问题。1,情景产生误操作的如下:首先,甲和乙两个人都在一个分支上进行代码修改,假设分支为develop。其次,两人最后一次同步是在2016年1月8号。在1月12号上午乙已经把自己修改的代码push到了远方服务器上。一切正常。误操作是甲做的,时间是2016年1月12号下午。操作如下:1>修

2016-01-29 19:49:06 5620 2

原创 数字集成电路设计-20-multi-cycle

引言前面我们介绍了流水线的写法(http://blog.csdn.net/rill_zhen/article/details/45980039),流水线是数字设计中很常用的一种设计方法,可以提高运行频率,提高吞吐量。如果组合逻辑延迟较大,一个时钟周期完成不了时,除了插入寄存器将组合逻辑拆分成流水线外,还可以采用multi-cycle的方式。multi-cycle的工作机制很简单,从给定输入之后,等

2015-05-29 21:09:50 7213 2

原创 数字集成电路设计-19-pipeline的写法

引言之前,我们介绍了数字设计中一些基本组合逻辑的写法(http://blog.csdn.net/rill_zhen/article/details/39586191)以及状态机的写法(http://blog.csdn.net/rill_zhen/article/details/39585367),本小节我们通过一个小实验来熟悉一下pipeline的写法。在多数的资料和教课书中提到pipeline时

2015-05-25 21:50:22 11683 2

原创 数字集成电路设计-18-UVM

引言UVM(Universal Verification Methodology)可以理解为形而上的东西,可以理解为是基于System verilog的一个库,提供一些API调用。其实没必要把UVM抬的那么高,上升到形而上的层次。因为在实际的IC验证时,对某个复杂DUT的验证时,一般都会拆分成那几个模块。要对DUT测试,肯定需要先产生测试用例,就是UVM中的sequencer和sequence概念

2015-05-24 14:58:52 8553

原创 数字集成电路设计-17-svunit环境构建

引言做IC验证时,除了采用SVA,DPI等验证手段,还可以采用svunit开实现module级别的验证。1,什么是svunit?VUnit is a unit test framework for developers writing code in systemverilog. Verify systemverilog modules, classes and interfaces in iso

2015-04-28 22:23:40 5455

原创 数字集成电路设计-16-关于AXI协议

引言AXI协议有可能是我们平时电路设计时经常遇到的一个协议,也是一个不错的协议,本小节我们就来熟悉一下。乍一看,AXI协议的信号众多,眼花缭乱,容易发蒙。但其实其基本思想很简单。只要掌握以下几点:1,valid/ready协议axi协议是典型的基于valid/ready协议的总线协议。valid/ready协议的优势就是master和slave的相对独立性比较好。对于一次传输,作为master的发

2015-03-12 11:40:47 13984 1

原创 数字集成电路设计-15-DPI(续)

引言对于SV,无论是构造测试激励,还是模拟硬件的并行行为,DPI都是非常方便的。上次我们介绍了SV里面通过“import”导入并调用C函数。本小节,我们通过一个简单的例子来说明C语言函数如何调用SV的task和function。1,SV部分/** test.v Rill create for dpi test at 2014-10-20*/`timescale 1ns/1nsmodu

2014-10-25 20:41:24 4754

原创 数字集成电路设计-14-DPI

引言在进行IC验证时,尤其是规模较大的时候,单纯用Verilog和SV来构建testbench,可能会稍显吃力。在这种情况下,使用C或者C++等软件语言往往会大大加快验证速度,提高验证效率。PLI,VPI,DPI正是基于这个原因开发的,很多仿真器都会提供支持。之前,我们介绍过VPI的使用,本小结就来说一下更易使用的DPI。1,基本功能还是惯例,通过一个具体的实验来体会DPI的使用。环境:IUS82

2014-09-29 21:43:13 7165 1

原创 数字集成电路设计-13-常用模块集锦

引言C语言,C++语言等软件编程语言吸引我们的一个很重要的原因是他们都能提供非常丰富的函数库供我们使用,大大提高coding的效率。但是像verilogHDL等HDL语言这方面做的比较弱,尤其是可综合的语法,基本没有通用的模块库供我们使用,所以编码效率会比较低。如果我们把平时经常使用的一些模块积累起来,慢慢的标准化,这样以后我们再设计新的电路时,就会方便很多,今天就是开始。1,判断两个信号是否相等

2014-09-26 23:17:49 6653

原创 数字集成电路设计-12-状态机的四种写法

引言在实际的数字电路设计中,状态机是最常用的逻辑,而且往往是全部逻辑的核心部分,所以状态机的质量,会在比较大的程度上影响整个电路的质量。本小节我们通过一个简单的例子(三进制脉动计数器)来说明一下状态机的4中写法。1,模块功能由于我们的目的在于说明状态机的写作方式,所以其逻辑越简单有利于理解。就是一个简单的脉动计数器,每个三个使能信号输出一个标示信号。2,一段式状态机的写法,一般有四种,即一段式,两

2014-09-26 20:52:55 13509

原创 朝花夕拾-4-shell

引言shell,我们经常会用到,以其强大的功能,会帮助我们解决很多棘手的问题。最近遇到一个问题,要跑很多case,如果串行的执行,需要很久。能不能让他们并行起来,但又不能所有case都并行运行呢?,因为所有case同时运行,机器会挂掉的。1,方式1比较直接的一种方式是,维护两个文件队列(*.start和*.stop)分别记录所有case的运行状态,然后根据并发数量来获取和分配资源。代码如下:mul

2014-05-23 22:00:25 3767

原创 OpenRisc-67-OR的汇编

引言之前我们写过OR的裸机程序,写过基于OR的linux设备驱动程序,也反汇编过OR的机器码。本小节,我们将通过一个简单的实验,对OR的汇编(指令集)做一个简单的梳理和测试。1,基本思想要想了解OR的指令集,其实只要查查OpenRISC architecture manual就可以了,但是不是最好的熟悉方式,也没有必要将其所有指令集记下来。我认为,通过一个实际的工程或者例子,从中了解OR的指令集是

2014-04-28 19:30:48 5526 9

原创 数字集成电路设计-11-SystemC

引言对于稍大一点的project,我们再经过算法验证(C语言/C++语言)之后,直接进行RTL设计,往往比较困难,这时,我们就需要一种介于算法验证和RTL设计之间的形式来实现,而SystemC就是其中比较好的。本小节,我们就熟悉一下SystemC。如果你有C++,C以及verilog HDL的编程经验,你会发现SystemC非常容易使用。1,环境构建SystemC是在C++的基础上扩展了的一个硬件

2014-04-16 20:35:12 6159 2

原创 数字集成电路设计-10-关于采用两级触发器实现双时钟域信号同步方法的分析与验证

引言实现双时钟域数据的交换,最常见的方法就是采用双时钟的异步fifo。但是对于单根信号线,如果仍然采用异步fifo就显得非常stupid,这时,往往通过两级触发器来实现同步。那么,两级触发器是如何实现同步的呢?本小节就做一个简单的分析和验证。1,一段代码下面是我刚刚从ORPSoC的工程里看到的一段代码://'ddr2_writeback_done':generate in ddr2_if_clk

2014-04-12 11:57:04 7573

原创 OpenRisc-66-基于ORPSoC对linux进行RTL仿真

引言前面,我们介绍过对裸机程序进行RTL仿真,那些裸机程序规模比较小,只有几KB大小。另外,我们也已经实现了针对O_board的SoC进行了RTL仿真(http://blog.csdn.net/rill_zhen/article/details/21190757),本小节,我们将实现在ML501平台上对linux进行RTL仿真。1,DDR2仿真模型的修改针对ML501的ORPSoC工程中,默认配置

2014-04-10 22:06:58 7339

原创 数字集成电路设计-9-除法器的verilog简单实现(续2)

引言两年前,我写过一个简单的除法器,当时由于时间问题,只实现了一个简单的逻辑电路,但是实际中大多都是时序的,所以后面给出了修改意见,但是并没有进行进一步的测试,最近发现那个简单的除法器引起了很多讨论,本小节就做一个终结。1,RTL编码a,div_rill.v/** module:div_rill* file name:div_rill.v* syn:yes* author:rill* d

2014-04-10 18:10:18 13569 6

原创 FFT-2-camera模块和VGA模块的测试与验证(RTL)

引言Octopus System中最难搞定的估计就是camera模块和VGA模块了。“明知山有虎,偏向虎山行”。“FFT计划”就拿这两个模块开刀。本小节将搭建一个RTL测试系统,来对这两个模块进行RTL的测试与验证。1,实验目标采集camera图像数据,并通过VGA接口显示到显示器上。2,测试系统结构1》整体结构测试系统由ov9655 camera board,ML501开发板,自己做的转接板。三

2014-04-02 21:30:19 4743 2

原创 FFT-1-FFT计划概述

FFT计划V1.11,         “FFT计划”是什么?FFT(FPGA Free Training)计划,是一套包含FPGA,SoC,CPU,Linux等内容的免费在线课程的总称。其目的是降低学习相关技术的门槛和费用,推动FPGA,SoC,Cpu和Linux等技术的普及和发展。 2,“FFT计划”包括哪些内容?FFT计划主要包含一套课程教学开发平台,一套课程教学教程两方面的内容。a,课程教

2014-03-24 21:24:30 5743 8

原创 深入浅出FPGA-18-VPI

引言我们在进行RTL仿真时,有时候会遇到HDL工程和C语言工程需要进行数据通信时,使用$readmem()等系统任务会方便很多,但是有时候,实现较复杂功能时,$readmem()就会稍显不足。这时,就需要我们编写特殊的系统任务,来实现。HDL语言提供的PLI,VPI正是为了解决这个问题而设计的,本小节,我们就熟悉一下VPI。1,VPI简介Verilog过程接口(Verilog Procedural

2014-03-24 21:07:48 11268 3

原创 程序人生-8-HFD

引言昨天(2014-03-15)在北京中关村梦想实验室的创客空间,举办了HFD(hardware free day),开源硬件大爬梯。我也有幸应邀参加了本次party,并做了“OpenRISC & Me”的主题演讲。本小节,就回忆一下我关于这次开源硬件聚会的一点想法。 1,参会人数多这次是国际HFD开始举办第二年,在中国,是第一年。没想到会来那么多人。整个会场里所有能坐椅子全部坐满,还有很多站着的

2014-03-17 12:24:00 4276 2

原创 O_board-4-仿真环境的构建与验证

引言在实际开发中,在写完一个module之后,很少会直接下板测试,更多是选择先进行仿真,在仿真之前,就要先构建仿真环境。本小节就来构建O_board的全系统仿真环境,并通过一个简单的例子来进行验证。1,RTL的修改我们之前曾加构建过基于ML501的仿真环境,对于O_board来说,大体步骤是相似的。所以在这之前,请先参考:http://blog.csdn.net/rill_zhen/article

2014-03-13 22:22:12 4118 2

原创 O_board-3-烧写外部spi-flash

引言之前,我们介绍过烧写ML501的spi-flash和ordb2a的spi-flash,本小节我们简单介绍一下O_board外部spi-flash的操作步骤。在这之前,请参考:a,OpenRisc-32-ORPSoC烧写外部spi flashhttp://blog.csdn.net/rill_zhen/article/details/9162275b,OpenRisc-61-烧写orpmon到M

2014-03-01 12:16:06 3685

原创 OpenRisc-65-关于or1ksim模拟运行linux时如何访问本地文件的问题的分析与解决

引言simulator,顾名思义,就是用来模拟硬件系统的,所以越接近实际情况越好。我们在实际下板测试时,启动linux之后,经常会访问主机上的文件。比如我们写完一个设备的driver,生成ko文件之后,最方便的加载方式就是用板子挂载主机上的nfs来实现对ko文件的访问(http://blog.csdn.net/rill_zhen/article/details/8700937)。那么,在用or1k

2014-02-15 14:53:05 3554 1

原创 OpenRisc-64-添加VGA和I2C模块到ORPSoC并测试验证

引言前一段时间,我们对vga_enh模块进行了单独的仿真(http://blog.csdn.net/rill_zhen/article/details/8911727),初步确认了这个模块是没有问题的。那么,到底这个模块能不能正常work呢?本小节就解决这个问题。1,实验步骤本小节,我们将vga_enh模块添加到ORPSoC,进行RTL仿真,并在ML501开发板上进行验证。大体过程如下:1>修改R

2014-02-04 21:58:34 4452 4

原创 O_board-2-运行第一个裸机程序

引言在经过上一篇“快速开始”(http://blog.csdn.net/rill_zhen/article/details/18714643)时,我们已经确认O_board可以运行linux,那么怎么运行裸机程序呢?本小节,我们将通过在O_board上运行一个简单的裸机程序(从串口打印‘hello Oboard!’),来进一步加深对O_board的了解和掌握。1,基本思想“麻雀虽小五脏俱全”,虽然

2014-02-04 20:31:54 3796

原创 O_board-1-快速开始

引言文档的重要性,是不言而喻的。昨天晚上最新版的O_board焊接完回来之后,就需要测试一下。具体如何快速测试板子有没有问题呢?本小节将通过O_board启动linux来解决这个问题。同时,快速测试不仅可以判断板子有没有问题,还可以建立和板子的最初的感觉。1,资源准备1>下载vbox镜像http://opencores.org/or1k/Ubuntu_VirtualBox-image_update

2014-01-23 22:11:13 4438 1

原创 OpenRisc-63-OpenRISC开发板O_board的设计,实现,调试与验证

引言“书上学来终觉浅,绝知此事要躬行”,“百闻不如一练”。无论是研究什么东西,学习和观摩是一回事,动手操作又是另外一回事。玩OpenRISC也是这样,只分析RTL,做一下仿真,对其感觉只能停留在‘纸上’,要想进一步加深对OpenRISC的理解,加深对CPU设计技术的掌握。除了分析RTL和仿真之外,还需要做很多基于OpenRISC的实验或者实际的项目才行。要做实验就需要对应的开发板,目前国内的FPG

2014-01-05 22:33:56 8615 21

原创 OpenRisc-62-ML501从CF卡启动u-boot

引言前面我们已经实现从SPI Flash启动orpmon和u-boot,并利用orpmon和u-boot的tftp服务最终启动了linux。看似大功告成,其实不然。由于SPI Flash的容量有限(2MB),无法从SPI Flash直接启动linux,如果想启动linux的话必须先启动bootloader,这就要求linux的启动过程需要人为的参与,而这是我们不想看到的。那如何才能上电直接启动li

2013-12-10 11:52:58 4066 3

原创 OpenRisc-61-烧写orpmon到ML501的SPI Flash并启动linux

引言前面,我们已经实现用or32-elf-gdb将vmlinux烧到ML501上的DDR2SDRAM,并成功启动了linux,如有疑问请参考(http://blog.csdn.net/rill_zhen/article/details/17142327)。采用gdb的方式适合在调试和开发过程中,由于在项目开发调试过程中,需要频繁的修改和调试代码,所以使用gdb无疑是最好的方式。但是,采用gdb直接

2013-12-09 15:28:57 5402

原创 OpenRisc-60-在ML501上运行ORPSoC并启动linux

引言之前我们在ML501上构建了仿真调试=系统,并成功运行了orpmon,但是这些还不够,我们还要运行OS才行。本小节基于前面的工作,启动linux。1,资源准备1>ORPSoC针对ML501的ORPSoC的RTL工程采用(http://blog.csdn.net/rill_zhen/article/details/17011957)构建的ise工程。2>linux采用(http://openco

2013-12-05 16:03:54 3950

原创 OpenRisc-59-jtag_tap模块分析

引言“知其然,还要知其所以然”,在搭建好ORPSoC的仿真环境和调试环境之后,我们有必要对仿真和调试系统中扮演重要角色的jtag_tap模块和adv_dbg_if模块进行进一步的分析,以了解其工作机制。本小节就来分析advanced debug system中的tap_top模块。1,from SPI to JTAG在分析JTAG的具体实现之前,我们先了解一下JTAGF的基本知识。A、JTAG协议

2013-12-02 17:00:32 6108

原创 OpenRisc-58-ORPSoC调试环境的构建

引言之前我们在PC上构建了ORPSoC的仿真环境,通过仿真环境,我们可以观察任何模块的工作波形,极大的方便了问题定位和错误分析。但是,“是骡子是马,拉出来溜溜”,只能看看仿真波形显然还不过瘾,我们还需要用FPGA板子跑一边才行。但要想在板子上运行和调试软件,最方便最直接的方式就是用gdb将程序load到内存,进行调试运行。本小节就以ML501板子为例来说明OpenRISC调试系统的构建过程。 1,

2013-11-28 23:10:49 5301 1

原创 OpenRisc-57-ORPSoC仿真环境的构建

引言要利用ORPSoC进行开发,最直接的方式当然是直接用FPGA开发板进行调试,当往往很多时候,直接在FPGA板子上运行的时候会出现问题。这时候,对ORPSoC进行仿真就是非常必要的了,通过仿真,可以尽快的锁定问题,解决问题。本小节就以ORPSoC针对ML501开发板为例,解决这个问题。1,通用版本的RTL仿真在opencores提供的ubuntu镜像里面,对于通用的版本(ORPSoC除了通用版本

2013-11-22 13:09:46 5902

原创 OpenRisc-56-Advanced Debug System

引言在前面我们阐述了OpenRISC 的调试系统的集中不同的实现方案,本小节我们着重介绍一下其中的Advanced Debug System。为了在不同的阶段进行不同层次的调试,模拟和仿真,opencores也开发了相应的debug系统(advancedebug system,http://opencores.org/project,adv_debug_sys),其中既包含目标硬件模块也包含软件模

2013-11-05 16:30:11 4040

原创 OpenRisc-55-OpenRISC debug系统分析

引言调试OpenRISC,需要软件和硬件的协同工作才能实现,其中硬件又包括JTAG cable,JTAG TAP和debug interface三部分,软件也包括JTAG cable的驱动,RSP server和GDB三部分。本小节就从整体出发,简单介绍一下OpenRISC的调试系统。1,调试系统分类如果软件和硬件各个子部分以不同组合方式组合在一起,就会出现不同的调试系统,但一般有三种不同的组合方

2013-11-05 16:00:06 4120

原创 OpenRisc-54-play with OpenRISC based atlys board

1.OpenRISC 1200 soft processorIntroductionThe OpenRISC 1200 (OR1200) is a synthesizable CPU core maintained by developers at OpenCores.org. The OR1200 design is an open source implementation of the Op

2013-10-31 13:19:17 12969

原创 OpenRisc-53-debugging the OpenRISC 1200

引言or1200的调试,是玩OpenRISC绕不过去的话题,无论是硬件上的调试,软件程序的烧写,调试,还是仿真时的调试,都需要相关的调试系统的支持。鉴于debug系统的重要性,Opencores官方也开发了一个调试系统-advance debug system,这个系统包含硬件和软件的所有组件,请参考:http://opencores.org/project,adv_debug_sys通过下载和阅

2013-10-31 11:24:02 4607 1

原创 程序人生-7-浪潮之巅

引言现在的世界是一个浪潮汹涌的世界,是一个‘长江后浪推前浪’的世界。读完吴军博士的《浪潮之巅》,想写点东西,自勉。世界是由物质构成的,物质不不断发展变化的,物质的变化是有一定规律的,物质变化的规律是可以被人们认知的。1,物质不不断发展变化的生老病死就是其中的一条自然规律,不仅每个人是如此,每个公司,每个国家也是如此。在进百年来的科技发展的大潮中,有无数的公司出现,发展,顶峰,没落。AT&T:由be

2013-10-14 17:33:36 2341

原创 OpenRisc-52-run openrisc&orpmon on ml501 board

引言之前关于openrisc的内容,几乎都是基于opencores官方的ordb2a那个开发板的,但是,实际情况是有那个本子的人很少,所以目前我在做一个与之类似的板子,并且很快就会跟大家见面,这是后话。在新做的板子出来之前,本小节我们先在xilinx ml501板子上跑一下openrisc和orpmon。1,实验准备1>熟悉开发板ML501是xilinx比较老的一款FPGA开发板,在最开始,如果对

2013-10-10 17:38:48 3134

原创 数字集成电路设计-8-一个简单sobel图像边缘检测加速器的设计,实现,仿真与综合

引言图像视频处理等多媒体领域是FPGA应用的最主要的方面之一,而在图像处理中,边缘检测是图像处理和计算机视觉中的基本问题,所以也是最常用的,随着数据量的不断增加以及对实时性的要求,一般软件已经不能满足实际需要,这时,就需要专门的硬件来实现加速。本小节就实现一个简单的sobel边缘检测加速器,为了便于对比,我们还编写对应的软件算法。1,基本思想与算法Sobel检测法通过一个叫做卷积的过程来估计每个像

2013-10-09 13:32:47 6184 2

oboard_programe_spiflash.rar

经过测试的,可以直接烧写O_board所需的软件,硬件,及烧写工具。

2014-03-01

or1ksim_eth_doc

or1ksim的user manual,以及创建bridge的脚本,和or1ksim运行linux的配置文件。

2014-02-15

orpsoc_vga_i2c_sim.7z

添加vga模块和i2c模块的仿真工程,直接替换掉soc-designe中的目录即可使用。经测试,并可以仿真通过。

2014-02-10

orsoc vga ml501 rar

可以直接使用的,包含vga模块和i2c模块的RTL工程代码,对应的blog中有测试程序。

2014-02-04

orsoc_vga_ml501

可以直接使用的包含VGA功能的ORPSoC的ise工程,经验证,可直接打开使用。

2014-02-04

orpsoc_Oboard_quartus

O_board可以直接使用的quartusII的工程,安装quartusII之后可以直接双击工程文件打开。

2014-02-04

O_board_prebuild

O_board快速开始所需文件。事先生成的,可以直接在O_board上使用,经测试,没有问题。

2014-01-23

Open Source Hardware Development and the OpenRISC Project.pdf

Open Source Hardware Development and the OpenRISC Project.pdf openrisc review.一篇关于openrisc的论文。了解openrisc全貌的很好的一篇文章。

2013-12-05

openrisc_demo.rar

内含三套基于openrisc的最小系统构建所需的软件和硬件源码。如果不想弄orpsoc和minsoc,想搭建自己的openrisc的soc,请参考。使用方法,请参考对应的blog内容。

2013-12-03

orpsoc_ml501_ise_prj.rar

orpsoc的ml501调试系统工程,可直接用ise打开使用。

2013-11-28

sim_outorder.7z

simplescalar的可运行工程,对研究体系结构有很大帮助。

2013-11-17

ORPSoC User Guide

ORPSoC User Guide,ORPSoC支持的所有FPGA开发板的用户手册。

2013-10-10

sobel back sim

sobel实现的时序仿真的modelsim工程,经测试,可以直接使用。

2013-10-09

sobel_rill

sobel实现的文档,可以参考。在具体使用代码之前,最好参考一下本文档。

2013-10-09

sobel加速器实现

sobel加速器设计与实现,以及前仿真工程,quartus综合工程。可以直接下载使用。

2013-10-09

a signed binary multiplication technique

booth在1950年发表的关于booth算法的论文。感兴趣可参考。

2013-08-01

First Draft of a Report on the EDVAC

冯诺依曼著名的101报告,First Draft of a Report on the EDVAC。

2013-08-01

WinHex_17.0_XiaZaiBa.zip

winhex,读取,编辑磁盘信息工具。win7下可以用,我测试过,没问题。

2013-07-18

System-on-Chip design with Open Cores

一篇关于openrisc搭建soc的master thesis。

2013-06-25

orpsoc fft工程的linux驱动

orpsoc fft工程的linux驱动程序,请将前面两部分下载后解压。 要想在板子上测试必须要有驱动。

2013-05-23

orpsoc fft工程文件

工程的第二部分,第一部分,请参考上一个资源。

2013-05-23

orpsoc fft的quartus工程文件_1

由于上传权限,不能超过70M,所以就分了两部分,这是第一部分。

2013-05-23

vga_enh_top仿真工程

vga_enh_top仿真工程,里面有自己画的仿真架构和重新组织的代码目录结构。

2013-05-10

openrisc_bootrom_asm

ORPSoC启动代码的手动反汇编结果,反汇编过程记录。

2013-05-09

Open Core Platform based on OpenRISC Processor and DE2-70 Board

xiang li的master thesis,里面包含利用现有的opencores的ip core组合搭建平台和软件开发,包含具体的操作步骤,rtl代码,软件(c,asm)源码。 是一个很具有参考价值的project的demo。

2013-04-26

simplescalar 1.0 源码

学习和研究模拟器,需要有一个参考会很好,但是现在的模拟器都很大,很复杂,比如gem5,simplescalar等。这个是simplescalar很老的版本,代码不多,容易入手。

2013-01-06

c-to-verilog_code_papers

可以将C代码转换成verilog HDL代码的综合器的源码,及这个综合器实现原理的文章。

2012-11-22

OpenRISC1000_System_Architecture manual

OpenRISC System Architecture manual,研究openrisc重要参考。

2012-11-20

openrisc_rill.pdf

原创绘制,根据or200的verilog源码绘制。所有模块的调用图,以及叶子模块的内部实现图。

2012-11-16

openrisc1200 source code

openRisc1200 source code.研究openrisc必备。

2012-11-13

CPU源代码分析与芯片设计及Linux移植

清晰版,CPU源代码分析与芯片设计及Linux移植,openrisc1200 verilog代码分析。

2012-11-13

dpi_ncsim_example.rar

ncsim关于dpi的例子,包括源码和pdf文档,值得参考。

2014-09-29

开源CPU--OpenRISC架构

开源CPU--OpenRISC架构介绍。 基于OpenRISC的开发板设计与实现。 以及FPGA Free training计划。

2014-05-29

Computer Architecture 5th edition

Computer Architecture 5th edition。英文完整版,清晰文字版。

2014-05-25

my_systemc.rar

systemc练习实例,包括数据类型,模块组织,trace信息,makefile等。

2014-04-19

systemc-2.2.0_rill_modified.rar

我修改后的systemc2.2.0压缩包。经过测试验证的,可用。

2014-04-16

vga_camera ise测试工程 ML501

FFT计划中Octopus System中的camera和vga模块测试验证工程。可直接使用。

2014-04-02

Rill_HFD_140315_v1.1.pptx

我在HFD做报告的PPT,内含OpenRISC的架构图,O_board的参数,FFT计划等内容。

2014-03-16

orpsocv2_oboard_rtl-test-ok.rar

经过验证的,针对O_board的全系统仿真工程,可以直接使用。

2014-03-13

vga_linux_ok_orpsoc_ml501_linux

可以work的基于ML501的ORPSoC的,添加VGA模块,启动linux,并成功创建fb0设备节点。

2014-02-27

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除