自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(51)
  • 资源 (38)
  • 收藏
  • 关注

原创 高效Linux之Terminal下实用快捷键

高效Linux之Terminal下实用快捷键

2022-08-17 10:25:07 951 1

原创 Linux下安装SVN服务端(全)

Linux下安装SVN服务端(全)

2022-07-29 09:27:12 787

原创 Linux下SVN客户端使用教程(全)

Linux下SVN客户端使用教程(全)

2022-07-29 09:20:19 522

原创 FPGA开发学习开源网站汇总

FPGA开发学习开源网站汇总

2022-07-26 19:15:58 1498

原创 FPGA实现IIC协议(二)之IIC总线的FPGA实现(单次读写驱动)

FPGA实现IIC协议(二)之IIC总线的FPGA实现(单次读写驱动)

2022-07-23 13:11:41 2260

原创 FPGA实现IIC协议(一)IIC总线协议

FPGA实现IIC协议(一)IIC总线协议

2022-07-23 11:39:26 2135 1

原创 FPGA基于spi的flash读写

FPGA基于spi的flash读写

2022-07-22 17:26:51 1434 1

原创 基于FPGA的SPI通讯协议实现

基于FPGA的SPI通讯协议实现

2022-07-22 17:00:43 1111 1

原创 基于FPGA的UART接口设计

基于FPGA的UART接口设计

2022-07-22 16:49:53 440

原创 Linux基本命令

Linux基本命令

2022-07-22 15:40:05 261

原创 GVIM/VIM使用技巧

GVIM/VIM使用技巧

2022-07-22 15:20:17 272

原创 Perl语言简述

Perl语言简述

2022-07-22 14:46:55 836

原创 Tcl 语言之Synopsys Tcl篇(3)(数字IC)

Tcl 语言之Synopsys Tcl篇(3)(数字IC)

2022-07-22 14:24:11 614

原创 TCL脚本语言详解(1)

TCL脚本语言详解(1)

2022-07-22 14:09:24 3208

原创 Tcl脚本语言基础(2)

Tcl脚本语言基础(2)

2022-07-22 13:55:03 1288 1

原创 Terminal终端命令(全)

Terminal终端命令(全)

2022-07-22 11:43:57 10069

原创 Verilog基本语法(2)

Verilog基本语法(2)

2022-07-21 15:17:33 309

原创 verilog之for循环(1)

verilog之for循环(1)

2022-07-21 14:40:12 1295 1

原创 第二篇 FPGA数字信号处理_并行FIR滤波器Verilog设计

第二篇 FPGA数字信号处理_并行FIR滤波器Verilog设计

2022-07-16 14:15:44 3256 1

原创 第一章 FPGA数字信号处理_数字混频(NCO与DDS)

FPGA数字信号处理_数字混频(NCO与DDS的使用)

2022-07-16 14:00:48 3396 1

原创 浅析Xilinx 三速以太网MAC IP核(仿真篇)

浅析Xilinx 三速以太网MAC IP核(仿真篇)

2022-07-16 13:49:26 1517

原创 VIVADO 以太网接口(SGMII转GMII接口)

VIVADO 以太网接口(SGMII转GMII接口)

2022-07-16 13:46:55 4225 7

原创 vivado之COE文件使用方法

vivado之COE文件使用方法

2022-07-16 09:43:49 2838

原创 Vivado ROM IP核

Vivado ROM IP核

2022-07-16 09:39:05 3362 1

原创 基于FPGA的内部IP核fifo信号仿真

基于FPGA的内部IP核fifo信号仿真

2022-07-16 09:25:19 291

原创 Vivado 双口RAM IP核的使用

Vivado 双口RAM IP核的使用

2022-07-14 19:07:15 1971

原创 vhdl语法(16#2#,2)

vhdl语法(16#2#,2)

2022-07-14 15:50:35 551

原创 VIM或GVIM使用大全

1. VIM的四种常见模式一.启动Vim1.双击桌面的图标,就可以启动Vim(是图形界面的)2.在开始菜单—点–运行 接着输入 vim 或者gvim,就可以启动Vim或Gvim了.二.Vim的模式1.Vim常用模式.命令模式(command-mode)插入模式(insert-mode)可视模式(visual-mode)正常模式(normal-mode)2.如何进入这些模式①.正常模式正常模式主要用来浏览和修改文本内容的一般的,打开Vim都是正常模式。在任何模式下,只要按下 Esc

2022-07-13 17:07:31 667

原创 基于FPGA 的奇数分频和偶数分频

基于FPGA 的奇数分频和偶数分频

2022-07-11 19:20:35 155

原创 基于FPGA的异步复位同步释放

基于FPGA的异步复位同步释放

2022-07-11 19:14:02 134

原创 基于FPGA的异步FIFO的实现

基于FPGA的异步FIFO的实现

2022-07-11 19:07:37 64

原创 FPGA之第一个1后面0的个数

FPGA之第一个1后面0的个数

2022-07-11 19:04:51 76

原创 FPGA之串并转换

FPGA之串并转换

2022-07-11 19:01:16 477

原创 FPGA之乒乓操作

FPGA之乒乓操作

2022-07-11 18:59:42 132

原创 Xilinx Vivado (FFT IP核)

Xilinx Vivado _(FFT IP核)

2022-07-10 09:55:09 651

原创 干货 | FIR数字滤波器设计(中)

干货 | FIR数字滤波器设计(中)

2022-07-09 16:58:34 1595

原创 干货 | FIR数字滤波器设计(上)

干货 | FIR数字滤波器设计(上)

2022-07-09 16:51:35 817

原创 什么是IQ信号, IQ调制又是怎么回事?

什么是IQ信号, IQ调制又是怎么回事?

2022-07-09 16:44:56 12384 2

原创 基于FPGA的AM信号的调制与解调

基于FPGA的AM信号的调制与解调

2022-07-09 11:34:37 1518

原创 Xilinx FPGA DDR3设计(二)时钟介绍

Xilinx FPGA DDR3设计(二)时钟介绍

2022-07-09 10:03:31 1070

基于FPGA的人脸识别工程源码

基于FPGA的人脸识别工程源码

2022-07-21

Snipaste_2.7.1截屏

Snipaste_2.7.1截屏

2022-07-14

基于FPGA的车牌识别工程与源码

基于FPGA的车牌识别工程与源码

2022-05-31

计算机网络协议TCP-IP_快速入门

计算机网络协议TCP-IP_快速入门

2022-05-31

基于MATLAB的车牌识别工程源码

基于MATLAB的车牌识别工程源码

2022-05-31

vivado的学习—VIVADO 从此开始_快速入门

vivado的学习—VIVADO 从此开始_快速入门

2022-05-31

英语工程—科技英语互译教程

英语工程—科技英语互译教程

2022-05-08

VHDL语言100例详解

VHDL语言100例详解

2022-04-09

VHDL实用教程[完整版]解析

VHDL实用教程[完整版]解析

2022-04-09

小梅哥FPGA时序约束从遥望到领悟详解

小梅哥FPGA时序约束从遥望到领悟详解

2022-04-09

VHDL转Verilog软件

VHDL转Verilog软件

2022-04-09

基于matlab的车牌识别算法整个工程

基于matlab的车牌识别算法整个工程

2022-04-08

基于802.3以太网MAC协议的研究与实现

基于802.3以太网MAC协议的研究与实现

2022-04-07

serdes的高速接口

serdes的高速接口

2022-04-07

chepai_prj.zip

基于FPGA车牌识别的工程源码加文档

2021-12-07

知识数字通信同步技术的MATLAB与FPGA实现 .zip

知识数字通信同步技术的MATLAB与FPGA实现 .zip

2021-12-07

知识数字调制解调技术的MATLAB与FPGA实现 .zip

知识数字调制解调技术的MATLAB与FPGA实现 .zip

2021-12-07

知识数字滤波器的MATLAB与FPGA实现:Xilinx VHDL版 .zip

知识数字滤波器的MATLAB与FPGA实现:Xilinx VHDL版 .zip

2021-12-07

《PCI+EXPRESS体系结构导读》——王齐.zip

《PCI+EXPRESS体系结构导读》——王齐.zip

2021-12-07

《轻松实现高速串行IO-FPGA设计应用指南》.zip

《轻松实现高速串行IO-FPGA设计应用指南》.zip

2021-12-07

SystemVerilog数字系统设计_12848067.zip

SystemVerilog数字系统设计_12848067.zip

2021-12-07

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除