自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

呆呆象呆呆的博客

做个温暖善良的人,柔软坚强!

  • 博客(318)
  • 资源 (5)
  • 收藏
  • 关注

原创 (第一百篇BLOG)写于博士二年级结束-20200818

其实在本科结束时就想好好回顾一下过去的生活,只可惜因为一些特殊原因没有提笔。反复回想当时毅然决然每一个学校都去面试直博的原因好像是真的想做一点点自己的东西,也不是为了多挣钱吧,当然钱肯定是越多越好,回顾一下近期的生活貌似,衣食住行吃穿用度都是在可承受的范围之内,本来对这些东西也没有特别的爱好,也许我就是一个没有爱好的人吧。其实平心而论,实验室读书的压力还是很大的,但终究在抗压这一方面做的还不错,慢慢的自己也变成了当年讨厌的样子,说着言不由衷的话,戴着伪善的面具,不再像当年一样锐意进取壮怀激烈,终究在实验

2020-08-18 16:54:34 1742 7

原创 ZZQ的博客目录--更新于20210601

文章目录概率图模型与数学模型windows下系统操作Python语法学习记录Linux系统操作一些小方法mark概率图模型与数学模型概率图模型(1):CRF(conditional random field)线性条件随机场概率图模型(2)贝叶斯定理公式讲解概率图模型(3)朴素贝叶斯分类概率图模型(4)逻辑回归概率图模型(5)隐马尔科夫模型概率图模型(6)马尔科夫随机场数理知识(1)...

2019-09-08 10:18:58 2607 3

原创 看完有用的blog

http://mohu.org/info/symbols/symbols.htmhttps://zhuanlan.zhihu.com/p/24502400http://blog.sina.com.cn/s/blog_5e16f1770100fs38.htmlhttps://blog.csdn.net/chichoxian/article/details/52050260https://ww...

2019-08-20 18:49:48 3505 1

原创 Linux 服务器间SSH免密码登录与拷贝文件(SCP)

实现B免密码登录A,操作方式是一样的,在B中用ssh-keygen生成ssh密钥对,将公钥拷贝到A中,A将B的公钥拷贝到.ssh目录下的authorized_keys文件中即可。下面以实现A服务器到B服务器的免密码登录和拷贝文件为例,介绍相关的配置。登录B拷贝A的id_rsa.pub内容到.ssh目录下的authorized_keys文件中。执行上述命令,一路回车,会在当前登录用户的home目录下的.ssh目录下(一般为。将A的公钥(id_rsa.pub)拷贝到B的用户下为例(我们以root为例)

2024-04-04 14:36:51 664

原创 芯片工程系列(6)Chiplet封装

而chiplet封装的芯片,如果一个功能区坏了,只需要把相应的模块换掉,甚至你还可以自定义升级,比如说把内存芯片换成一块儿容量更大的。2017年,AMD公司推出第一代EPYC(霄龙)处理器Naples,把四个同类型的CPU,通过chiplet方案封装在一起,虽然它传统比单一的一块处理器芯片多出10%的面积,但是节约了41%的成本,在性能上对标当时英特尔的白金至强xeon。SOC芯片的开发周期长,设计难度高,而chiplet只需要分开设计各个功能模块的小芯片,难度大大降低,可以加速芯片迭代升级的速度;

2024-04-04 14:02:30 1044

原创 芯片工程系列(5)2.5D 3D封装

InFO_PoP 是全球首款三维扇出型晶圆级封装,是 FOWLP 和 PoP 的结合体,上层 DRAM 芯片通过 TIV(Through InFO Via)与基板相连,再通过凸块与下层扇出型晶圆级封装的处理器相连形成 3D 结构,与 FC_PoP 相比,InFO_PoP 外形更薄,具有更好的电气和热性能,适用于移动设备、HPC等领域。相较于2.5D 封装,3D 封装的原理是在芯片制作电晶体(CMOS)结构,并且直接使用硅穿孔来连结上下不同芯片的电子讯号,以直接将存储器或其他芯片垂直堆叠在上面。

2024-03-24 15:58:26 1155

原创 芯片工程系列(4)晶圆级封装(重布线技术、扇入与扇出型晶圆级封装)

解决连接的问题高传输速度 :与传统金属引线产品相比,WLP一般有较短的连接线路,在高效能要求如高频下,会有较好的表现。高密度连接 :WLP可运用数组式连接,芯片和电路板之间连接不限制于芯片四周,提高单位面积的连接密度。解决装的问题封装尺寸小 :由于没有引线、键合和塑胶工艺,封装无需向芯片外扩展,使得WLP封装出来的芯片尺寸和最初的裸片尺寸相同,把尺寸做到最小。生产周期短 :WLP从芯片制造到、封装到成品的整个过程中,中间环节大大减少,生产效率高,周期缩短很多。

2024-03-24 14:41:58 1046

原创 芯片工程系列(3)倒片封装(凸块键合、探针封装)

倒片封装直接在芯片 I/O 焊盘上或重布线层 (Re-distribution Layer,RDL)上沉积凸块,然后将芯片电气面朝下,直接把芯片正面倒扣在封装衬底上,中间用小金属球连接实现电气互联的封装技术。倒片封装技术的键合方式的一种,芯片的底部布有柱状金属凸点,称为探针,这些探针通常以阵列状或线性排列在芯片的底部。倒片封装技术的键合方式的一种,芯片的底部布有一定数量的焊球,这些焊球通常以网格状或阵列状排列在芯片的底部。通过将芯片倒置,焊球与基板上的相应焊盘对齐并进行热焊接,实现芯片与基板之间的电连接。

2024-03-24 13:38:48 424

原创 芯片工程系列(2)传统封装(引线键合与裸片贴装)

引线键合(Wire Bonding):把金属引线连接(电信号的传输路径)到焊盘上的一种方法。

2024-03-10 19:53:52 1036

原创 芯片工程系列(1)芯片封装背景、作用、分类、工艺步骤、发展思路

就像发动机用于为汽车提供动力一样,芯片键合技术通过将半导体芯片附着到引线框架(Lead Frame)或印刷电路板(PCB, Printed Circuit Board)上,来实现芯片与外部之间的电连接。塑料封装中,根据封装媒介的不同,又可进一步分为引线框架封装(Leadframe)或基板封装(Substrate)。[9]差评君,苹果的新芯片,真就是用两块芯片粘起来的 [10]知乎Vampire,片内互联技术发展概述。[8]《电子工程专辑》2022年8月刊,黄烨锋,先进封装的现在和将来,价值链的未来重心。

2024-01-21 23:56:01 1079

原创 Flash Attention(1):背景介绍,与传统Attention对比,前向反向算法解析

输入1:QQQ序列(query),其中Qq1q2q3⋮qm⏟dkm∈Rm×dkqi∈R1×dk∣i∈12m⎩⎨⎧​Qdk​​q1​q2​q3​⋮qm​​​​​m∈Rm×dk​qi​∈R1×dk​∣i∈12m⎭⎬⎫​输入2:KKK序列 (key),其中Kk1k2k3⋮km⏟dkm∈Rm×dk。

2023-12-20 00:08:47 1492

原创 Cache学习(4):Cache分配策略&Cache更新策略&Cache逐出策略

常用名词。

2023-11-26 17:38:00 1517

原创 Cache学习(3):Cache地址映射(直接映射缓存&组相连缓存&全相连缓存)

以一个Cache Size 为 128 Bytes 并且Cache Line是 16 Bytes的Cache为例。首先把这个Cache想象成一个数组,数组总共8个元素,每个元素大小是 16 Bytes,如下图:现在考虑一个问题,CPU从0x0654地址读取一个字节,由于Cache大小相对于主存来说,是非常小的。所以Cache只能缓存主存中极小一部分数据。如何根据地址在有限大小的Cache中查找数据呢?现在硬件采取的做法是对地址进行散列(可以理解成地址取模操作)。

2023-11-26 12:44:04 1947

原创 Cache学习(2):Cache结构 & 命中与缺失 & 多级Cache结构 & 直接映射缓存

现在的硬件设计中,一般Cache Line的大小是4-128 Byts。将在后文中进行解释说明。

2023-11-24 01:30:59 2695

原创 Cache学习(1):常见的程序运行模型&多级Cache存储结构

程序是运行在主存之中。当需要运行一个进程的时候,首先会从磁盘设备中将可执行程序load到主存中,然后开始执行。在CPU内部存在一堆的通用寄存器(register)。其实现实中,CPU通用寄存器的读写速度和主存之间存在着太大的差异。两者速度差异近百倍。当CPU试图从主存中load/store 操作时,由于主存的速度限制,CPU不得不等待这漫长的65ns时间,所以,上面举例的3个步骤中,步骤1和步骤3实际上速度很慢。如果可以提升主存的速度,那么系统将会获得很大的性能提升。

2023-11-22 01:20:36 333

原创 内存学习(4):内存分类与常用概念3(ROM)

ROM即为只读存储器,全拼是Read Only Memory。

2023-11-21 00:57:57 740

原创 内存学习(3):DRAM的基础存储结构(存储层级、读写过程,刷新与暂存)

DRAM,全称为 Dynamic Random Access Memory ,中文名是“动态随机存取存储器”。所谓“动态”是和“静态”相对应的,芯片世界里还有一种 SRAM 静态随机存取存储器的存在。笼统地说,DRAM 的结构比 SRAM 更简单,面积占用更小,适合制作大容量的存储芯片;而 SRAM 结构复杂一些,一般使用六个晶体管,面积消耗大,但是读写速度快,而且因为 SRAM 只用到晶体管,所以在工艺上和逻辑芯片相兼容,我们可以在逻辑芯片上直接集成 SRAM。

2023-11-05 20:25:24 2531

原创 内存学习(2):内存分类与常用概念2(SDRAM与DDR)

全称为(Double Data Rate Synchronous Dynamic Random Access Memory,Double Data Rate SDRAM,),中文名为“双数据率同步动态随机存储器”或者“双数据率SDRAM”。DDR是在原有的SDRAM的基础上改进而来。此时为了明确定义,SDRAM可以被区别定义为(Single Data Rate SDRAM,SDR SDRAM)。同步:是指内存工作需要同步时钟,内部命令的发送与数据传输都以它为基准(SDRAM带来的属性)

2023-11-05 16:44:24 931

原创 Linux: MV指令(覆盖替换重命名)

在没有后缀的情况下自动备份为原始文件名加波浪线。如下指令会发生的情况。

2023-11-03 22:16:54 1560

原创 内存学习(1):内存分类与常用概念1(RAM)

电脑的RAM是什么意思? - 知乎SRAM(静态随机存取存储器)_百度百科动态随机存取存储器_百度百科是DRAM耗电还是SRAM耗电 - 手机硬件和基带SRAM 和DRAM谁功耗大??_百度知道SRAM和DRAM的优缺点对比_dram 功耗_Hydrion-Qlz的博客-CSDN博客静态随机存取存储器(SRAM)_sram芯片结构-CSDN博客ram是什么 - 搜索结果 - 知乎

2023-10-29 23:33:38 344

原创 Nvidia显卡L40S学习:产品规格,常用名词解释

更多的 CUDA 核心意味着更大的并行计算能力和更快的计算速度,对于需要大规模并行计算的应用来说,拥有更多的 CUDA 核心可以显著提升计算性能。需要注意的是,这些数字是理论上的峰值性能,并不代表实际应用中的性能。通过使用 RT Cores,显卡可以更快地进行光线追踪计算,从而提供更高的渲染性能和更逼真的图像效果。常见的显示连接器包括HDMI、DisplayPort、VGA、DVI和Thunderbolt等,它们提供了视频和音频信号传输的通道,使用户可以将图像和声音显示在显示器上。

2023-10-22 20:10:08 1595

原创 (第三百篇BLOG记录)写于象牙终章与从零开始-20230924

由于若干原因(包括但不限于紧锣密鼓的完成博士毕业的一系列实验和论文撰写、学习各种百花齐放的有意思的领域、完成人生身份的重大转变),导致卡在299篇博客已经很久了,不过算了一下还是在一个较长时间维度上可以基本保持每周一片博客的平均输出,确实也一直没有时间认真总结和思考这一百篇博客撰写时间内自己的变化,但是如果一直不完成这第300篇的总结,后面的博客就总会找理由不更新下去,所以在忙还是应该把该做的事情做了。这一年应该是我人生近三十年来变化最大的一年,中间发生了很多很多的事。

2023-09-24 16:53:07 810 4

原创 Mac与windows传文件(超过4G且速度超快,非共享)

将Windows的IP列表记下来后,然后再Mac的app上链接其他端输入Windows的IP,反之用Win链接Mac也是一样的。图中表示Mac的IP为192.1688.0.102,同时也记录一下Windows的IP为192.168.0.106。如图所示(需要保证设备在一个wifi下面)Windows和Mac都需要安装。连上之后就可以开始传送了。

2023-08-05 23:30:08 1772

原创 你需要来自XXX的权限才能对此文件夹进行更改 win10

你需要来自XXX的权限才能对此文件夹进行更改 win10

2022-12-19 11:15:13 2643 1

原创 你需要来自XXX的权限才能对此文件夹进行更改 win10

你需要来自XXX的权限才能对此文件夹进行更改 win10

2022-12-19 11:14:30 2576 1

原创 Windows使用MobaXterm方向键变成2468的数字问题解决方法

使用MobaXterm启动Mind Studio编辑代码有时候方向键会变成2468的数字。

2022-12-14 19:44:44 1117

原创 Python调用C++

python被称为胶水语言,其优势是能够粘结各种不同的语言。同时,python有着更大的“亲民性”,很容易进行开发。但是,python最大的问题就是。通常可以用CUDA或者C++对一个python程序进行加速,加速策略如下:大规模算术运算、矩阵运算等过程用底层语言编写,python只负责传参和处理结果数据;十分常用的函数,我们可以用C++写成“算子”,然后python调用算子即可,如边缘检测的Sobel算子;

2022-12-12 19:37:06 8830 5

原创 win10在远程连接配置中出现`Bad owner or permissions on C:\\Users\\Administrator/.ssh/config` 报错

win10在远程连接配置中出现报错。

2022-12-12 08:25:41 9818 10

原创 芯片工艺PVT STA分析 OCV分析

芯片工艺PVT STA分析 OCV分析

2022-10-26 22:24:54 1504

原创 工艺角 Process Corner

工艺角

2022-10-26 15:19:22 9803 1

原创 Vscode所见即所得的Markdown编辑以及PDF输出

Vscode所见即所得的Markdown编辑以及PDF输出

2022-10-26 13:37:00 3388

原创 vscode查看变量及函数列表

VSCODE查看变量以及函数列表

2022-10-26 09:48:42 11844

原创 VSCODE 查找在文件夹或者文件中代码或定义,在文件夹中查找文件的多种方法

VSCODE 查找在文件夹或者文件中代码或定义,在文件夹中查找文件的多种方法

2022-10-25 16:42:39 35955

原创 MobaXterm监控服务器的资源(CPU、RAM、Network、disk...) 使用情况

MobaXterm监控服务器的资源(CPURAMNetworkdisk...) 使用情况

2022-10-25 10:21:42 6996

原创 CNN卷积神经网络加速和实际在硬件中的计算过程

卷积神经网络在硬件中的计算过程

2022-10-24 23:32:37 843

原创 AXI协议(5):AXI协议的burst机制

从图中我们发现,在每次数据传输中使用的数据总线字节位置(byteline)不同,分别是[70],[158],[2316],[3124],尽管数据以字节为单位,分为多个周期传输,但是数据的位置仍与其地址对应。那么在数据传输的范畴中,就使用burst来表示一种传输模式在一段时间中,连续地传输多个(地址相邻的)数据。对应于下图的情况中,灰色的部分代表数据无效,第一次的传输中低地址第一字节有效,其他数据无效的,WSTRB信号为0x01,WSTRB[0]为1,即D[70]有效。...

2022-07-26 00:02:23 13218 12

原创 AXI协议(4):AXI通道上的信号

AXI 总线中有两个全局信号:写地址通道的信号可以分为 3 部分:值得注意的是 AXI4 不再支持 WID 信号,这和 AXI4 的乱序机制有关,AXI4 规定所有数据通道的数据必须顺序发送。WDATA 与常见的握手信号不再赘述,WDATA 的可使用位宽可以见上文。WSTRB 信号用于标记传输数据中有效的字节,每个 WSTRB 位对应一个字节的位宽,比如数据位宽为 64 位,那么 WSTRB 信号的位宽就是 1 个字节,共 8 位。WLAST 标识一次突发传输中最后一次数据传输,如果没有正确的 WLAST

2022-07-24 23:08:17 3530 2

原创 AXI协议(3):AXI架构的握手机制和实现细节

以上5条独立的通道(AR,R,AW,W,B)都相互独立,且包含自身通道对应的一个信息信号和一个双路的VALID、READY信号(比如ARVALID/AWREAADY)来实现握手机制。官方文档叙述作为一种双向流控机制,VALID/READY机制可以使发送接收双方都有能力控制传输速率。源设备,也就是发送方(source),源设备指的是发出某个信号的源目标设备,也就是接收方(destination),目标设备是这个源想要抵达的地方两者的操作(技能)并不相同。注意。......

2022-07-24 11:16:27 2328

原创 AXI协议(2):AXI架构的五个通道和两种事务

R的数据总线可以是8,16,32,64,128,256,512,1024bits,还需要注意读响应信号是包含在读数据通道中,和写响应通道不同。写数据通道携带的是master需要写到slave上的数据,同样的我们可以使用8,16,32,64,128,256,512,1024bits的总线位宽。AW,W,B),从数据和地址控制的角度可以把他们分成(R,W;读地址/控制和写地址/控制通道(ARAW)两个通道(对应各自在读或者写处理时候)其实是在传送,需要把(读或者写的)数据放的地址和对应控制信息。...

2022-07-24 11:14:26 4785 1

原创 AXI协议(1):AMBA总线介绍,AXI概念与背景介绍,AXI协议特点与功能

AMBA(AdvancedMicrocontrollerBusArchitecture)总线规范是ARM公司提出的总线规范,被大多数SoC设计采用,下面让我们按照其发布版本来认识一下它。AMBA版本1规范定义AMBA版本2规范定义ASBAPBAMBA版本3规范定义APBv1.0AMBA版本4规范定义ACE-LiteAXI4AXI4-LiteAPBv2.0ATBv1.1最新一代的AMBA4规范的目标如下。...

2022-07-24 11:12:45 1299

lr_scheduler_test.py

博客相匹配的测试代码

2021-08-24

shdoclc.7z win可以用版本

记得下载完之后进行修改名字然后复制到对应的文件夹(对应博客(https://blog.csdn.net/qq_41554005/article/details/119863428))

2021-08-23

LATEX教程及所有字符的表示代码

配合如下博客使用 https://blog.csdn.net/qq_41554005/article/details/109700354 主要内容为: latex的常用字符的输入举例 latex公式语法解释

2020-11-15

markdown语法演示代码

Markdown的语法演示代码 适合用typora打开 配合https://blog.csdn.net/qq_41554005/article/details/109599364 使用

2020-11-10

快捷键全局热键响应进程查看

windows可用,查看全局快捷键响应进程的工具 打开程序,按全局热键.这个程序会显示,响应进程的路径

2020-11-10

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除