自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(49)
  • 资源 (3)
  • 问答 (3)
  • 收藏
  • 关注

原创 Matlab应用层生成简述

【代码】Matlab应用层生成简述。

2024-04-07 22:12:27 311

原创 Matlab安装完成后打开后闪退

官方解决办法,英文看着费劲其中Problem #2和Problem #4大概率能解决问题我是使用Problem #4解决的,引发原因是Problem #3加密软件导致,只能先命令行再desktop才能打开软件知乎绵绵给出的方法,和官方解决相同但是中文

2024-04-02 21:40:15 282

原创 Matlab未装工具箱

电脑空间捉襟见肘,基于2022b,汽车相关行业,不涉及自动驾驶相关没有用到的产品类型,如表所示翻译可以参考yunqianrui的博文5G Toolbox5G相关Aerospace Blockset航天相关Aerospace BlocksetAntenna Toolbox天线相关Audio Toolbox语音相关Bioinformatics Toolbox生物相关Bluetooth Toolbox蓝牙Deep Learning

2024-04-02 09:57:21 392

原创 UDS诊断协议

正响应的意思是执行成功后,服务端返回报文报告执行成功负响应的意思是执行失败后,服务端返回报文报告执行失败负响应返回的报文:最高字节固定为7F,第二字节为被拒绝的SID,后续字节为被拒绝的原因发送报文:27 05回复:7F 27 13正响应返回的报文:最高字节为SID基础上加上0x40,次高字节为子功能ID,后续表示发送的数据发送报文:27 05回复:67 05 01 01 01。

2024-03-20 16:22:48 705

原创 功能码多导致Switch分支过多

【代码】功能码多导致Switch分支过多。

2024-03-19 19:18:48 143

原创 项目A与位段收获

项目开始阶段

2024-03-06 10:41:34 372

原创 PyQt笔记

基本UI按钮:qpushbutton文本:qlabel输入框:qlineeditw.resize重新设置大小 w,move移动窗口位置

2024-02-27 22:28:05 764

原创 JTAG接口的SWD定义

10PIN和20PIN的引脚对应关系

2024-02-02 08:39:46 95

原创 FreeRTOS总结

*函数重入:**函数可以安全的在多个任务调用,或既可以从中断也可以在任务使用,那么函数就是重入,也称作线程安全。中断结束时,会回到打断处继续执行,但如果中断期间,有了更高优先级任务就绪,就应该执行更高优先级任务,而不是返回原点继续执行。如果A,B任务优先级相同,A先获取了互斥量,等到时间片结束切换B,接着回A,释放互斥量,再等到时间片结束,B才能运行。通过任务通知,任务或ISR可以直接向接收任务发送事件。**互斥量:**特殊的二进制信号量,获取后必须归还,归还后别的任务才能获取,否则可能死锁。

2023-12-31 21:25:09 927

原创 野火霸道-V2+3.2寸屏+FreeRTOS+LVGL移植

基于野火霸道-V2+3.2寸屏的开发板,下载器为STLINK分为两个版本,FreeRTOS和裸机版本。

2023-12-31 21:10:49 1046

原创 esp32遇到的问题

CloneClone的解决方法:链接代理使用主机的clash走代理:链接粘贴复制粘贴复制不好用的解决方法安装过程中可以会提示软件不全,按照提示把两个软件装一遍就行

2023-09-30 12:50:19 58

原创 Opencv保存ESP32-CAM视频流

【代码】Opencv保存ESP32-CAM视频流。

2023-06-20 00:12:26 1209 4

原创 应用商店无法安装应用

windows商店安装应用失败

2023-01-15 18:49:11 320

原创 Arduino离线安装Esp8266

离线安装

2022-11-17 15:14:13 1953

原创 Boot与APP的Hex合并

【代码】Boot与APP的Hex合并。

2022-09-08 10:03:36 951

原创 Keil生成Bin文件出现Bin文件夹

原因我碰到原因就是SCT加载文件的问题,我定义了不少段,所以导致bin文件变成了文件夹一般的Bin生成方法:在USER里面Run#1加上$K\ARM\ARMCC\bin\fromelf.exe --bin -o "[email protected]" "#L",生成错误出现文件夹,如果没有自定义SCT文件就可使用这种方法解决方法Keil里面的fromelf帮助,可以去看看bincombined_base与你自己的起始地址有关,$K\ARM\ARMCC\bin\fromelf.exe --bincombine

2022-05-10 17:49:21 1986 2

原创 CCP协议移植与INCA通信流程

强烈建议看看这个视频B站CCP移植视频下载CCP源码Vector官网应该有,是个exe文件,网址我找不到了,不行就在CSDN下载阅读内部自带的两个关键文档AN-IMC-1-001_Integration_of the_Vector_CCP_Driver_with_a_free_CAN_Driver.pdf 介绍了完整的移植过程CCP21.pdf 介绍了2.1版本的CCP协议CCP源码移植首先将CCP三个文件移植到你的工程里,ccp.c/.h,ccppar.h其次

2022-03-15 17:43:18 3023 10

原创 VCU模型开发流程

第一部分:单片机C底层代码开发需要一块你准备开发成VCU的单片机核心开发板,进行底层代码开发板验证,VCU常用的模块有PWM,GPIO,CAN,AD,DA,FLASH等,根据自己的需求进行C代码验证在验证的过程中要有意识进行抽象,你中期会将代码抽象成SFunction模块如下,例如GPIO输入就要设置分组、上下拉、引脚号,你在C代码中就要把这部分抽象成变量提前留好接口,需要留出什么需要自己想好第二部分:MATLAB模块的建立首先打开simulink,选择建立一个空白library,然后界面

2022-03-15 11:59:03 3948 2

原创 CCP移植相关

CCP文件夹CANDRV文件夹can_ccp文件是CAN与CCP协议的接口文件以及介绍文档SAMPLES文件夹主要是两个移植完成后的模板DOC文件夹文件夹里面是各种介绍文件Integration_of_the_Vector_CCP_Driver_with_a_free_CAN_Driver文件主要是CCP如何与CAN驱动集成CCP Driver文件是对他的源码进行介绍MAP文件其中关键的是变量名称、变量长度、变量地址该文件一般存放在32工程下的Listings文件夹要通

2021-12-28 10:30:39 879

原创 CCP协议

CCP协议2021-11-12标定流程将标定数据保存在Flash中。在程序初始化过程中,标定数据映射至RAM当中,程序从RAM中调取数据。标定过程开始时,在上位机中建立Flash存储区镜像,并保存至HEX文件。标定过程中,通过DNLOAD,DNLOAD_6等命令修改RAM中数据,实现在线标定,更新上位机Hex。标定结束后,将更新后的数据,一次性写入Flash。重启控制器,将新的标定数据映射值RAM中。数据CCP协议是在应用层也就是使用CAN的数据帧来传递命令,分为CRO(主设备向从设

2021-12-27 14:59:02 7023

原创 树莓派Ubuntu20.04安装ros系统

第一位大佬的博文第二位大佬的博文首先设置软件源,这里可以是官方源也可以是镜像,由于我官方源就成功了,所以没用镜像源sudo sh -c ‘echo “deb http://packages.ros.org/ros/ubuntu $(lsb_release -sc) main” > /etc/apt/sources.list.d/ros-latest.list’设置密钥sudo apt-key adv --keyserver ‘hkp://keyserver.ubuntu.com.

2021-10-14 15:53:48 625

原创 matplotlib画图

画出第一个基本图像 import matplotlib.pyplot as plt import numpy as np x = np.linspace(-1, 1, 50) y = x*2+1 plt.plot(x, y) plt.show()用两个窗口画出两个图像 import matplotlib.pyplot as plt import numpy as np x = np.linspace(-1, 1, 50) y1 = x*2+1 y2 = x**2

2021-04-27 22:36:18 77

原创 arm64下liunx的python安装

anaconda安装参考该篇博客大体就是下载为arm liunx开发的anaconda包后进行bash命令安装正常安装参考该篇博客大体就是官网下载压缩包解压,configure,安装必要库,安装查看 ls -l /usr/bin | grep python,现有python命令链接的什么解释器移除sudo rm /usr/bin/python,移除当前链接建立新链接:sudo ln -s /usr/local/python3.7/bin/python3.7 /usr/bin/

2021-04-15 11:07:48 1813

原创 深度学习

第二章神经网络是一种由节点构成的网络,它模仿大脑的神经元结构。这些节点计算输人信号的加权和,并且利用激活函数与加权和来计算并输出结果多数的神经网络是由分层的节点构建的。对于分层的神经网络,信号从输入层进人,然后通过隐藏层,最后从输出层离开。实际上,线性函数不能用作隐藏层的激活函数,这是因为线性函数使得隐藏层变为无效。然而在一些问题中,如回归问题,输出层节点可以采用线性函数根据训练数据去调整权重的方法叫做学习规则。有三种主要的误差计算算法,它们是随机梯度下降(SGD)算法、批量算法、小批量算法。

2021-03-24 17:41:56 232

原创 MDK与芯片的联系

程序执行的时候FLASH空间,code + RO data程序执行时SRAM空间,RW data + ZI data程序存储时占用空间,code + RO data + RW data在目录下打开命令行窗口,按shift+鼠标右键,>可以将信息输入到某个文件生成BIN文件有两种方法,D:\Program Files\Keil_v5\ARM\ARMCC\bin先将这个变量添加到环境变量下,目录根据安装路径修改利用MDK里的user选项卡输入命令后自动生成BIN文件,aft..

2021-02-19 18:32:46 240

原创 simulink时间不同步

现在问题是我用simulink在线调试电机,can信号发出延时太大,返回速度硬生生被逼成了方波在此参考了ke_ang大佬的博客https://blog.csdn.net/ke_ang/article/details/45747899顺带把下载网址copy过来了https://www.mathworks.com/matlabcentral/fileexchange/29107-real-time-pacer-for-simulinkhttps://www.mathworks.com/matlabc

2021-01-19 11:23:43 782

原创 代码整洁之道

代码整洁之道第二章 变量有意义的命名,int i不好避免误导 如0和o,1和l有意义的区分,看的出来意义,不要与其他重合使用读的出来的名称,不要自造词使用可搜索的名称,一个数或者一个字母搜索起来十分费劲避免使用编码,把类型或作用域编进名称里,徒然增加负担类名和对象应该是名词或名词短语,方法名应当是动词或动词短语别用双关语,例如add可以变成insert或者append使用所涉问题和解决问题领域的名称提供语境,便于理解名称第三章 函数函数第一条规则就是要短小函数应该只做一件事

2020-12-17 22:02:34 113

原创 STM32使用simulink编程

第一部分首先你要下载STM官方的32的simulink包 STM32-MAT网址链接然后解压出来进行安装,安装地址自行拟定,打开安装目录,你会看见startuop.bat文件,双击运行后会自动打开matlab点击设置路径,然后把你安装的那个文件夹添加进去,然后点击保存第一部分完成。第二部分打开你安装的cubemx,选择你的芯片型号,配置你需要的外设,我这里只配置了一个时钟,下载和LED然后配置你工程的名字,选择工程路径,选择你的MDK,然后在Code Generator勾选生成单独的

2020-10-30 17:05:15 5144 12

原创 AD问题

更新PCB出现Unknown PIN在设计-网络表-编辑网络,右键一个网络,清除所有网络、重新在原理图里Update导入PCB后,有的元器件距离遥远框选视野内所有元器件E+S+O,然后在点击工具栏排列工具里的在区域内排列器件...

2020-10-02 20:29:26 601

原创 Matlab基础

第一章Matlab的文件扩展名为.m,也叫M文件Matlab一行写不开使用续航符,行末加“ ”后加“…”,即可在下一行继续输入查看历史命令:在布局里的历史命令,或者命令窗口按↑Matlab命令窗口运行的所有命令都共享一个工作空间,共享所有的变量帮助命令which bode,显示bode的位置lookfor bode,显示所有与bode有关的函数信息想了解某个目录下有多少函数,what(‘目录’)help bode,显示bode函数的用法第二章运算符加法和乘法数组定义X=[

2020-09-21 20:00:39 2772

原创 PCB设计基础概念

π型滤波设计晶体电路设计多采用π型滤波设计注意一下几点布局紧凑,放置在主控同一侧,靠近主控IC布局尽量使电容分支要短,目的是为了减少寄生电容晶振电路采用π型滤波形式,放在晶振前面要远离大功率的元器件等发热期间电磁兼容控制策略传输通道抑制:具体有滤波、屏蔽、搭接、接地、布线空间分离:地点位置控制、自然地形隔离、方位角控制、电场矢量方向控制时间分隔:时间公用准则、雷达脉冲同步、主动时间分隔、被动时间分隔频率管理:频率管制、滤波、频率调制、数字传输、光电转换电气隔离:变压器隔离

2020-09-18 22:12:22 2285

原创 Numpy菜鸟教程小结

创建一个ndarray数组numpy.array(object, dtype = None, copy = True, order = None, subok = False, ndmin = 0)object:数组或嵌套的数列,dtype:数组元素的数据类型,ndmin:指定生成数组的最小维度numpy.asarray(a, dtype = None, order = None)numpy.asarray 类似 numpy.array,但 numpy.asarray参数只有三个,比nu.

2020-08-07 23:12:40 1561

原创 liunx安装dlib库

sudo apt-get install build-essential cmakesudo apt-get install libgtk-3-devsudo apt-get install libboost-all-devstep pip install dlib

2020-07-19 23:17:19 195

原创 Fontconfig error: failed reading config file解决

我使用imshow,就会报错Fontconfig error: failed reading config file,之前还是好好的,想到我今天更新了opencv,所以把opencv回退个版本就没有问题了

2020-07-14 11:17:06 2550 1

原创 安装opencv低版本

opencv安装包下载站点 https://mirrors.tuna.tsinghua.edu.cn/pypi/web/simple/opencv-python/进入之后,会发现opencv_python-3.4.1.15-cp36-cp36m-manylinux1_x86_64.whlcp36代表你需要在python版本3.6下装这个包liunx就是支持liunx系统x86_64就是64位系统下载下来后,安装命令pip install 文件名,在此之前你可能需要卸载你之前安装的ope

2020-07-14 08:58:38 3962 4

原创 Numpy小记

numpy基本信息.size返回元素总数.dtype返回类型,nbytes占用内存numpy.ndim返回数组的维度注解:[1,2,3]是一维,[[1,2,3],[1,2,3]]是二维,[[[1,2,3],[1,2,3]],[[4,5,6],[7,8,9]]]是三维numpy.shape返回各位维度大小的元组shape分别为(3,),(2,3),(2,2,3) 例如最后一个等效成[A,B],A又是2*3的数组,所以shape=(2,2,3)相乘或者相加会自动对其每个元素进行操作,而不是和列表

2020-07-12 10:58:38 102

原创 配置VIM编辑器

先在你家目录下创建.vimrc文件,touch命令创建后然后输入这些,完成基本配置"显示行号set nu"语法高亮syntax on"设置TAB缩进set shiftwidth=4set softtabstop=4set tabstop=4set expandtabset smarttab"折行set wrap"高亮这行"set cursorline"高亮查找匹配set hlsearch"不备份set nobackup"退出确认set confirm"背景黑色se

2020-06-06 19:13:43 119

原创 运行tensorflow程序遇到的问题

出现错误:ImportError: No module named cv2,解决方案:pip install opencv-python出现错误:No module named ‘object_detection’,解决方案:https://blog.csdn.net/weixin_42683993/article/details/90181480

2020-06-06 19:10:21 249 1

原创 基本liunx总结

liunx基本命令date命令是显示当前时间history是显示你之前敲过的命令crtl+P是自动倒着输入你历史的命令,crtl+N与其相反,当然上下键也可以crtl+B是光标向前移动,+f向后移动,+a到行首,+e到行尾光标前边的删除按删除键,del或者ctrl+d是删除光标覆盖的字符,ctrl+u是删除光标前面的所有,+l是清屏tab键是自动填充加提示,按下tab会提示你打的字相关的命令,还能自动填充和提示地址,可以将你写的地址下的子目录全部显示出来,提示都是按两下cd是打开文件夹,l

2020-06-06 19:08:54 125

转载 anaconda3和tensorflow 1.14的安装

anaconda3和tensorflow 1.14的安装anaconda3的安装:https://blog.csdn.net/qq_34288630/article/details/88352101tensorflow 1.14的安装https://blog.csdn.net/minhuaQAQ/article/details/105830396没遇到什么坑,按照步骤来就可以

2020-05-29 11:21:42 816

14229英文协议1-7

14229英文协议1-7

2024-03-21

UDS相关资源恒瑞,感觉还可以

UDS相关资源恒瑞,感觉还可以

2024-03-19

一种简单的软件定时器,可用于任务调度和定时

一种简单的软件定时器,可以用于对精度要求不高的任务调度和定时

2024-03-06

ZLG python二次开发demo

我使用的硬件为DTU 200UWGR,测试发现官网的DTU系列demo有问题,ZLG工程师重新测试更新为可用demo,不清楚目前官网是否更新

2024-03-06

SAE J1939英文协议与中文翻译

SAE J1939英文协议与中文翻译

2024-01-27

野火霸道-V2+3.2寸屏+FreeRTOS+LVGL移植

野火霸道-V2+3.2寸屏+FreeRTOS+LVGL移植. 分为有RTOS和没有RTOS两个版本,自写可用。

2023-12-31

Modbus主机与从机通信

Modbus主机与从机通信

2020-10-12

STM8-Template.zip

STM8基础工程模板,如果打印函数占用资源过大可以自行删除。 如果打印函数占用资源过大可以自行删除。如果打印函数占用资源过大可以自行删除。如果打印函数占用资源过大可以自行删除。

2020-02-15

STM8L15x-16x-05x-AL31-L-StdPeriph-Lib.zip

stm8l051库函数,网上其他地方也有也可以不下载我的

2020-01-23

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除