自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

柒年

平等的24小时

  • 博客(158)
  • 资源 (6)
  • 收藏
  • 关注

原创 设计实例14-credit计算

限制上级模块的发包速度?,如何在上级模块计算rdy。

2023-07-08 10:23:47 873

原创 uvm中call_back使用

uvm中call_back使用

2023-05-13 11:09:15 197 1

原创 UART模块验证(1)

带时钟同步信号的数据传输,发送方和接收方在同一时钟控制下,同步传输。通用异步串行收发传输器(,通常称为UART)是一种异步收发全双工传输器。该传输器由两根信号线组成,一根数据发送线TX,一根数据接收线RX,通信时数据以传输帧为单位,一帧数据包括四个部分,分别是起始位数据位奇偶校验位和停止位。通常情况下数据可以为5-8位,奇偶校验位可以奇校验偶校验或是无校验。UART中传输数据逻辑,未进行数据传输时,数据线时钟处于高电平,开始进行一帧数据传输时,数据线上的电平首先会被拉低,当前位为起始位,随后8个数据位(

2023-04-29 21:44:19 646

原创 正则表达式

正则表达式总结

2023-02-24 10:19:30 124

转载 SystemVerilog中指定打印格式

本文主要总结一下中的占位符,通过合理的使用占位符,在log中按自己指定的格式打印信息,方便debug。

2023-01-19 17:37:00 715

原创 9-层次化设计

层次化设计,线网别名化

2022-11-06 15:44:52 188

原创 systemverilog硬件设计与建模

systemVerilog硬件设计与建模

2022-10-26 20:49:38 374

转载 always_comb,always_ff,和always_latch语句

always_comb,always_ff,和always_latch语句

2022-10-26 20:33:09 841

原创 ubuntu18安装vcs2016和verdi2016

vcs2016安装遇到的问题

2022-10-08 23:40:47 1005

原创 Perl-函数与面向对象

perl函数与面向对象

2022-10-02 22:46:57 394

原创 perl-操作表达(运算符,条件表达,循环)

perl-操作表达(运算符,条件表达,循环)

2022-09-17 23:51:20 1217

原创 perl-数据类型

perl数据类型

2022-09-12 16:51:06 1063

原创 后仿真总结

前端后仿真总结

2022-09-11 18:42:18 430

原创 SVA断言总结

assert断言总结

2022-09-09 19:47:49 478

原创 设计实例13-跨时钟域

跨时钟域

2022-09-02 17:55:28 337

原创 设计实例11-打卡题

使能下,输出信号翻转,否则保持,然后将。输入一个数,每拍输出一个乘法数。

2022-08-24 14:30:07 317

原创 设计实例12-移位乘法

verilog移位除法

2022-08-24 12:22:10 918

原创 设计实例09-串并转换

verilog串并转换

2022-08-22 15:22:48 661

原创 设计实例08-分频

时钟分频

2022-08-22 15:19:46 299

原创 设计实例07-复位

1. 同步复位2. 异步复位3. 异步复位以及同步释放

2022-08-21 22:52:06 344

原创 设计实例02-加法器设计

加法器设计,半加器和全加器

2022-08-05 23:19:05 981

原创 设计实例01-基本逻辑器件

D触发器,JK触发器,SR锁存器,T触发器,寄存器,移位寄存器

2022-08-01 20:16:22 647

原创 设计实例04-异步fifo设计

异步fifo设计仿真波形

2022-08-01 00:22:32 265

原创 设计实例03-同步fifo设计

同步fifo设计

2022-07-31 21:45:56 772

原创 关于VIP开发总结

买不到VIP,就只能自己开发了。

2022-04-23 17:20:13 535

原创 数字电路逻辑符号

数字电路逻辑符号参考文章:数字电路逻辑符号和逻辑图形符号

2022-03-05 15:38:28 1113

原创 设计实例05-计数器设计

4位简单计数器

2021-10-04 18:23:46 397

原创 vcs仿真

首先介绍VCSVCS:verilog compile simulationVCS 运行有两种方式,交互模式(interactive model),一种是批处理模式(batch mode)。交互模式带gui跑,调试方便,显示更直观。做回归时候,当只关心case跑完结果情况时,就可以采用批处理模式了。编译步骤参考手册,vcs user guide使用vcs仿真,分以下步骤:编译(compiling the design),编译rtl code,生成可执行文件*simv仿真(simulating

2021-10-04 17:53:35 2500

原创 设计实例06-序列检测

序列检测,三段式状态机以及移位寄存检测,vcs下编译

2021-09-25 21:57:00 356

原创 寄存器模型(2)

寄存器模型集成通过寄存器模型发送读写寄存器序列

2021-08-08 19:48:11 192 1

原创 寄存器模型(1)

寄存器以下寄存器描述:硬件中的各个功能模块可以由处理器来配置功能以及访问状态,而与处理器的对话即是通过寄存器的读写来实现的。寄存器的硬件实现是通过触发器,而每一个比特位的触发器都对应着寄存器的功能描述(function specification)。一个寄存器一般由32个比特位构成,将单个寄存器拆分之后,又可以分为多个域(field),不同的域往往代表着某一项独立的功能。单个的域可能有多个比特位构成,也可能由单一比特位构成,这取决于该域的功能模式可配置的数量。而不同的域,对于外部的读写而言,又

2021-08-07 18:37:49 2635

原创 sequence介绍完善

hirearchical sequence以下验证寄存器场景包括以下几点:

2021-08-01 17:46:18 716

原创 sequence系列之sequence和sequencer

sequence和item发送实例未封装实例如下:class bus_trans extends uvm_sequence_item; //bus item定义 rand int data; `uvm_object_utils_begin(bus_trans) `uvm_field_int(data, UVM_ALL_ON) `uvm_object_utils_end ...endclassclass child_seq extends uvm_sequence; //child_

2021-07-31 23:42:53 1041

原创 sequence系列之sequence and item,and driver

sequence and item概述sequence指的是uvm_sequence类,而item指的是uvm_sequence_item类。对于激励生成和场景控制,是由sequence来编织的,而对于激励所需要的具体数据和控制要求,则是从item的成员数据得到的。介绍item是基于uvm_object类,这表明了它具备UVM核心基类所必要的数据操作方法,例如copy()、clone()、compare()、record()。item根据数据成员的类型,将划分为:控制类。例如总线协议上的读

2021-06-14 16:46:13 508

原创 验证工程师需要掌握的技能

验证工程师需要掌握的技能

2021-05-16 22:48:53 709

原创 sv中常见算法总结

一些算法总结。

2021-05-16 16:34:38 129

原创 同步通信元件

概述SV用来做线程同步的几种元件,它们分别是semaphore、event、mailbox。在UVM中,需要同步线程不再只局限于同一个对象中,还需要解决不同组件之间的线程同步问题。一旦线程同步要求发生在不同组件,这就要求组件之间可以通过某种方法来实现同步。UVM为解决组件封闭性问题,定义了如下类来满足组件之间的同步要求。uvm_event,uvm_event_pool,uvm_event_callback,uvm_barrier,uvm_barrier_pooluvm_eventuvm_eve

2021-04-11 23:37:31 638

原创 UVM通信2.0(与SystemC模型通信)

2021-04-05 21:36:43 849

原创 UVM通信1.0

开发流程TLM通信概念a. TLM是一种基于事务(transaction)的通信方式,通常高抽象级语言例如SystemC或者SV/UVM中作为模块之间的通信方式。TLM通信需要两个通信对象,这两个对象分别称为initiator(发起方)和target(响应方)。通信发起方并不代表了Transaction的流向起点,即不一定数据是从initiator流向target,也可能是从target流向了initiator。(数据不一定是由发起方流向响应方,发起方既可以向响应方传递数据(put),也可以向响应

2021-03-14 17:12:05 1138

原创 组件家族说明

组件家族常见组件:uvm_driver、uvm_monitor、uvm_sequencer、uvm_agent、uvm_scoreboard、uvm_env、uvm_test继承关系:查看UVM类库地图第5部分,地址。各个组件描述以及扩展的部分uvm_driver类uvm_driver是参数化的类,该组件需要传入参数类,如下所示:class my_driver extends uvm_driver #(type REQ=uvm_sequence_item, type RSP=REQ); /

2021-03-07 21:59:53 517

sv中vip部分参考代码

sv中vip部分参考代码

2022-04-23

verilog语言.zip

verilog语言学习

2021-10-10

systemverilog语法练习

帮助新手快速掌握sv语言,特别适合新手用作练习使用,实验包括三个小实验,认真敲完代码,帮助新手巩固知识。

2020-11-28

移动通信系统频点规划和频率规划

移动通信系统频点规划和频率规划,全面学习通信规划,超全的通信规划信息,适合用来学习通信规划,文件信息全面,齐全,资料完整

2020-11-28

网易云解析

添加网易云音乐的地址,自动得到解析后的地址,用于插入到自己的网页中,博客中,qq空间音乐地址。

2018-06-29

开发测试指南

软件测试指南,最全面的软件测试开发技术文档,适合新手。

2018-06-19

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除