自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(25)
  • 收藏
  • 关注

原创 关于OFDM调制解调中,串并转换和IFFT的作用的理解

关于OFDM调制解调中,串并转换和IFFT的作用的理解

2023-11-16 10:40:11 709

原创 modelsim如何仿真出所有信号的波形(添加新的信号观看波形时,无需重新仿真)

modelsim如何仿真出所有信号的波形(添加新的信号观看波形时,无需重新仿真)

2023-10-24 09:28:10 393

原创 linear-feedback shift register (LFSR)原理,基于LFSR的伪随机数的verilog实现

linear-feedback shift register (LFSR)原理,基于LFSR的伪随机数的verilog实现

2023-09-21 20:49:50 164

原创 用Design Compiler评估功耗

用Design Compiler评估功耗

2023-08-07 11:28:30 300

原创 在Design Compiler中,如何计算设计电路的等效门数

在Design Compiler中,如何计算设计电路的等效门数

2023-08-06 21:06:42 160

原创 Design Compiler读入指定文件夹及子文件夹下的所有verilog文件

Design Compiler读入指定文件夹及子文件夹下的所有verilog文件

2023-08-06 15:38:51 214

原创 Verilog编程题:不使用除法器,得出除法运算结果,结果为定点形式

Verilog编程题:不使用除法器,得出除法运算结果,结果为定点形式

2023-07-29 22:57:34 305 1

原创 硬件FFT IP设计,FFT verilog开源代码

硬件FFT IP设计,FFT verilog开源代码

2023-07-21 21:55:29 353 1

原创 (PDM音频接口),PDM编码原理及代码

(PDM音频接口),PDM编码原理及代码

2023-04-01 21:29:34 707

原创 vivado implementation 失败,提示 HACOOException,no stack trace available, please use hs_err_<pid>.dmp ins

vivado implementation 失败,提示 HACOOException,no stack trace available, please use hs_err_.dmp ins

2023-03-19 17:39:38 2536

原创 对于平头哥wujian100 SoC中总线矩阵的理解

对于平头哥wujian100 SoC中总线矩阵的理解

2023-03-17 21:43:41 180

原创 Wujian100 SOC FPGA原型验证,bootrom的实现

Wujian100 SOC FPGA原型验证,bootrom的实现

2023-03-15 21:32:31 1190 1

原创 快捷键去除复制文本中的换行符 -- CopyQ ;文献翻译小工具 --划词翻译

快捷键去除复制文本中的换行符 -- CopyQ ;文献翻译小工具 --划词翻译

2023-02-19 11:01:58 958

原创 写个代码,简单验证VCS、Verdi的仿真功能

写个代码,简单验证VCS、Verdi的仿真功能

2023-02-14 15:01:50 340

原创 tensorflow、Python、cuDNN、CUDA、显卡之间的版本对应关系

tensorflow、Python、cuDNN、CUDA之间的版本对应关系

2023-02-09 10:56:29 293

原创 LWIP TCP发送数据时间间隔过短导致LWIP DEBUG报错----解决方法;LWIP TCP如何以较短时间间隔发送数据?(STM32)

LWIP TCP发送数据时间间隔过短导致LWIP DEBUG报错----解决方法;LWIP TCP如何以较短时间间隔发送数据?(STM32)

2022-11-09 22:29:35 2979 2

原创 STM32如何用USART实现同步串口功能?是用USART的synchronous模式吗?USART的synchronous模式的本质就是SPI

STM32如何用USART实现同步串口功能?是用USART的synchronous模式吗?USART的synchronous模式的本质就是SPI

2022-11-07 23:15:41 2441 3

原创 在vivado自定义ip核(AXI IP)工程中,不勾选“Copy sources into lP Directory“选项以保持自己的代码文件夹结构,此过程产生的问题及解决方式

本博客描述了在vivado自定义ip核工程时(Create and Package New lP -> Create AXI4 Peripheral),为什么要不勾选"Copy sources into lP Directory"选项,以及不勾选"Copy sources into lP Directory"选项会导致ip核更新不成功的解决办法。

2022-10-31 23:56:22 372

原创 电脑BIOS为UEFI BIOS,出现蓝屏情况“你的设备遇到问题,需要重启。我们只收集某些错误信息,然后你可以重新启动。100%完成“,解决方法。

电脑BIOS为UEFI BIOS,出现蓝屏情况"你的设备遇到问题,需要重启。我们只收集某些错误信息,然后你可以重新启动。100%完成",解决方法。

2022-09-11 11:44:09 76950 3

原创 Variational Mode Decomposition(变分模态分解),介绍,算法流程,作用,优缺点

Variational Mode Decomposition(变分模态分解),介绍,算法流程,作用,优缺点

2022-07-17 14:47:45 5108

原创 IQ信号,IQ样本,IQ数据,constallation diagram(星座图)

IQ信号,IQ样本,IQ数据,constallation diagram(星座图)

2022-07-04 16:50:45 7112 4

原创 通信中,前导码的介绍及其作用

通信中,前导码的介绍及其作用

2022-04-14 20:36:45 7349

原创 verilog与C语言交互问题?MicroBlaze读寄存器与写寄存器,结果数据不一致。

最近在用MicroBlaze自定义IP核发现一个问题。我在IP核逻辑文件user_logic.v中这样写道。//----------------------------------------------------------------------------// user_logic.v - module//--------------------------------------...

2018-11-25 18:55:07 1410 1

原创 MicroBlaze自定义custom IP核实现流水灯(用verilog写的IP逻辑),有实例

参考文档:https://indico.desy.de/indico/event/5126/session/0/contribution/27/material/slides/0.pdf可以参考这个文档来自己 Adding custom IP,不过这个文档建立的是一个PWM的IP核,并且使用VHDL写的IP逻辑。这个文档对应官方历程:https://www.avnet.com/shop/us/...

2018-11-25 12:18:00 1803

原创 Microblaze自定义IP核实现PWM,有文档及实例

资源:https://pan.baidu.com/s/1_8e1JTpAJ6sKd0yB6WlE9A(这篇文档讲了如何自定义IP核)硬件:Spartan-6 LX9 MicroBoard程序、工程下载地址: http://em.avnet.com/s6microboard...

2018-11-22 16:13:20 1040

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除