自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(85)
  • 资源 (5)
  • 收藏
  • 关注

原创 xilinx Ibert IP 眼图测试

随着高速数字系统的发展,高速串行数据被广泛使用,内嵌高速串行接口的FPGA也得到大量应用,相应的高速串行信号质量的测试也越来越频繁和重要。通常用示波器观察信号波形、眼图、抖动来衡量信号的质量,Xilinx提供的IBERT(Integrated Bit Error Ratio Tester)作为一种高速串行信号测试的辅助工具,使得测试更便捷,其具有不占用额外的I/O管脚和PCB空间、不破环接口信号的完整性、无干扰、使用简单和价格低廉等特点IBERT简介IBERT是Xilinx提供用于调试FP...

2020-10-09 19:45:27 5037 1

原创 Signal Tap II使用

1.未使用Signal Tap之前的资源使用使用Signal tap之后,资源使用如何使用SIgnal Tap,双击2.第二个界面,在这里添加自己想要的观察的信号。记得保存文件,在工程目录下面保存完之后,可以在主界面,看到stp文件在下载程序时,要注意给板子上电,否则失败在完成调试之后,可以删除stp文件,操作如下图出现如下界面,千万...

2019-07-31 18:43:36 1670

原创 Questasim 跑自动化脚本使用教程

1.日常工程的自动化脚本程序,一共需要两个文件.do和.文件bat。3.双击run.bat ,可以自动化跑起来。sim.do文件如下。

2024-03-27 19:10:46 240

原创 图像增强主要有哪些方法

图像增强是按照特定的需要去除或者突出图像中的某些信息,改善图像的视觉效果,使图像更适合分析。一般主要分为两种方法,空间域和频率域。空间域直接在图像像素上操作,频率域,其操作是在图像的傅里叶变换上操作。线性变换------可以应用在由于照明不足,图像对比度比较低的情况中,通过分段的线性变换函数,来对图像对比度进行拉伸。非线性变换------对数变换完成图像灰度级的扩展或者压缩。伽马变换可以用在电脑的显示器或者网站中图像的伽马校正,也可以用在图像的对比度增强中。加法运算------对于有

2022-05-19 15:22:14 13744 1

原创 Vivado图像仿真平台的搭建--附源码

我们在做FPGA图像处理中,有些时候写算法的时候,需要查看图像算法处理之后的效果如何,来验证自己算法的正确性,可能身边没有板子,我们可以通过Vivado仿真结果,来验证算法的正确性。 本文在Vivado中搭建一个基本的图像算法处理仿真平台。将一个车牌bmp图像文件读取进来,然后按照摄像头的时序输出来,通过RGB888转YCbCr提取Cb分量,然后在经过二值化算法,提取车牌信息。开发环境:Vivado工程架构:仿真时间14ms,Vivado图像仿真结果如下:...

2022-05-16 21:11:07 2253 2

原创 HDLBits 答案 ---Vectors

HDLBits Vectors 章节参考答案

2022-05-03 11:51:47 289

原创 Sobel 边缘检测 matlab代码实现

索贝尔(Sobel)算子是计算机视觉领域的一种重要处理方法。主要用于获得数字图像的一阶梯度,常见的应用和物理意义是边缘检测。索贝尔算子是把图像中每个像素的上下左右四领域的灰度值加权差,在边缘处达到极值从而检测边缘。该算子包含两组3x3的矩阵,分别为横向及纵向,将之与图像作平面卷积,即可分别得出横向及纵向的亮度差分近似值。如果以A代表原始图像,Gx及Gy分别代表经横向及纵向边缘检测的图像,其公式如下:图像的每一个像素的横向及纵向梯度近似值可用以下的公式结合,来计算梯度的大小。Matlab.

2022-04-23 19:57:39 5611 4

原创 matlab中值滤波实现

中值滤波是一种典型的非线性滤波,是基于排序统计理论的一种能够有效抑制噪声的非线性信号处理技术,基本思想是用像素点邻域灰度值的中值来代替该像素点的灰度值,让周围的像素值接近真实的值从而消除孤立的噪声点。该方法在去除脉冲噪声、椒盐噪声的同时能保留图像的边缘细节中值滤波示意如下图所示matlab代码实现clc;clear all;close all;RGB_data = imread('G:\picture_deal\matlab_code\mangguo.bmp');%图像读入...

2022-04-21 14:53:16 7431 2

原创 matlab 均值滤波实现

matlab代码实现均值滤波

2022-04-21 14:38:22 19950 1

原创 modelsim/Questasim中添加xilinx ip库,并仿真成功

由于vivado自带仿真工具运行速度较慢,有时候需要在modelsim环境下仿真xilinx的ip,因此需要将xilinx的ip重新编译并添加至modelsim。

2022-04-20 21:40:07 2292

原创 图像噪声种类--高斯噪声 椒盐噪声

图像噪声是指存在于图像数据中的不必要的或多余的干扰信息,图像噪声的产生来自图像获取中的环境条件和传感元器件自身的质量,图像在传输过程中产生图像噪声的主要因素是所用的传输信道收到了噪声的污染。在MATLAB中 有用于创建噪声的函数,调用格式为J=imnoise(I,type),例子如下:clc;clear all;close all;RGB_data = imread('G:\picture_deal\matlab_code\mangguo.bmp');%图像读入figure(1);i

2022-04-20 20:29:10 6065

原创 FPGA图像仿真平台搭建,模拟摄像头时序---开源代码

FPGA图像处理中,有些时候写算法的时候,需要查看图像算法处理之后的效果如何,来验证自己算法的正确性,可能身边没有板子,我们可以通过仿真结果,来验证算法的正确性。源文件免费下载:FPGA图像处理仿真平台搭建-图像处理文档类资源-CSDN下载本文主要搭建一个基本的车牌图像算法处理测试平台。将一个车牌bmp图像文件读取进来,然后按照摄像头的时序输出来,通过RGB888转YCbCr提取Cb分量,然后在经过二值化算法,提取车牌信息。开发环境:自己使用Vivado一直没有成功,总是输出不了最后的图像,后.

2022-04-18 20:31:58 1295 1

原创 FPGA时序约束步骤

在实际的工程中,按照这个步骤,去给自己的工程进行约束,至少有明确的方向。

2022-04-01 22:18:20 192

原创 FPGA设计中关于复位的思考

FPGA设计中关于复位的思考

2021-12-29 21:46:03 1045

原创 DDR3 Vivado 仿真测试成功

DDR3 Vivado 仿真测试成功

2021-12-29 21:34:53 4569 1

原创 谈谈自己对于System Verilog的理解

绿皮书各个章节的重点知识回顾2.数据类型:logic,数组,队列,结构体(struct),自定义类型(typedef),枚举(enum),字符串3.过程语句和子程序:initial,begin…end,任务(task) ,函数(function)4.连接设计和方法:接口(interface),信号分组(modport),接口的驱动和采样,断言5.类:数据和方法,new,继承,包6.随机化:随机化(rand),约束(constraint)7.线程通信:fork…join,事件(even

2021-10-18 15:50:13 748

原创 时序约束课程--好的学习资源

初学者不建议去学这个时序约束课程主要看中文版的UG949,主要讲的都是如下所示:高亚军讲的也非常好,一定要看这个视频,多看几遍,有很大的收获高亚军:Vivado入门与提高--高亚军_哔哩哔哩_bilibili还有其他的资源,也可以学习一下张海军:https://www.bilibili.com/video/BV1ME41127So?p=14&spm_id_from=pageDriver安路科技https://www.bilibili.com/video/BV.

2021-09-14 20:48:50 261

原创 FPGA设计实战演练(高级技巧篇)

初学者,不太建议读这本书,你会感觉FPGA设计好复杂,等在你做过2个项目之后,在慢慢体会这些书里的设计理念,可能慢慢就懂了。

2021-08-20 21:50:35 2233 1

原创 编写时序收敛代码的总体规则

第一个原则:在设计代码之前一定要进行良好的设计与规划:设计的时候一定要规划好整个设计的层次结构,描述出各个功能模块的基本架构。然后对功能模块进行合理的边界划分。 第二个原则:有硬件编程思路,不要仅仅停留在行为级,要深入理解所编写代码具体电路是什么。如何和实际的目标器件内部电路结构相对应。 第三个原则:一些设计基本原则:并行原则,同步原则,不使用门控时钟等等时序优化方法:减少关键路径上的组合逻辑单元数来优化时序FPGA逻辑设计中时序路径上的组合逻辑都会给路径增加延时,而影响设计性能的往往只是那几条

2021-08-20 20:59:36 482

转载 跨时钟域单bit信号处理--握手信号

用途:一般用在AB两个时钟关系不确定的时候,可能是快到慢,也可能是慢到快;基本原理:双方电路在声明或终止各自的握手信号信号前都要等待对方的相应。完整的同步过程(A→B)可有以下4个步骤:请求信号的产生:当同步器处于空闲状态时,在输入脉冲到来时,A声明它的请求信号sync_reg;请求信号的跨越与应答信号的产生:sync_reg信号需要跨时钟域同步到B,与此同时,B产生同步脉冲,并产生应答信号sync_ack,此时,已经给出了要输出的脉冲应答信号的跨越与请求信号的清除:sync_ack...

2021-08-19 20:03:16 1049

原创 同步复位,异步复位,异步复位,同步释放

同步复位同步复位:是指当复位信号发生变化时,并不立即生效,只有当有效时钟沿采样到已变化的复位信号后,才对所有寄存器复位。同步复位电路如下图所示:always @(posedge clk) begin if(!rst_n) dout <= 0; else dout <= din;end异步复位异步复位: 无论时钟沿是否到来,只要复位信号有效,就对系统进行复位always @(posedge cl...

2021-08-05 10:35:23 2244

原创 RTL级4大基本设计原则

RTL级基本设计原则1.面积和速度的平衡与互换原则面积 (Area)和速度 (Speed) 这两个指标贯穿于 RTL 设计的始终,是衡量设计质量的终极标准。作为矛盾的两个方面,面积和速度的地位是不一样的。相比之下,满足时序、工作频率 的要求更重要一些,所以当两者发生冲突时,应采用速度优先的原则。面积和速度互换的操作技巧很多,比如模块复用、"乒乓操作"、"串并转换" 等2.硬件原则先应该明确 FPGAICPLD ASIC 的逻辑设计所采用的硬件描述语言 (HDL)同软件语言(如 C..

2021-08-05 10:25:56 1806

原创 fpga秋招笔试刷题---华为某年FPGA/IC笔试

华为笔试题目1:D阻塞赋值“=”(组合逻辑电路),非阻塞赋值“<=”(时序逻辑电路)2.正确时序逻辑电路的定义:3.错误吧,阻塞赋值在always 也可以用4.选25选C有些时候,设计中可以使用Latch。异步复位不插入组合逻辑,是防止产生更多的毛刺信号6.选C选CA:cnt正确:没看懂选B...

2021-08-03 14:16:46 2895 4

原创 fpga秋招笔试刷题---时钟分频电路

下面是实现2分频电路wire add_cnt;wire end_cnt;reg [2:0] cnt;reg clk2div;always @(posedge sys_clk or negedge rst_n)begin if(!rst_n)begin cnt <= 0; end else if(add_cnt)begin if(end_cnt) cnt <= 0;...

2021-07-20 10:12:48 169

原创 fpga秋招笔试刷题--序列检测器10010

某XX科笔试题目:首先需要画状态机:module top( input sys_clk, //50M 20ns input rst_n , input din);reg [5:0] state_c,state_n;reg out1;parameter IDLE = 6'b000001;parameter S1 = 6'b000010;parameter S2 = 6'b000100;parameter S3 ...

2021-07-20 10:08:42 238

原创 大华FPGA笔试过程

没有监控,做的事后整体感觉很累,主要是基础不扎实,虽然项目做了很多,但是很多东西还是不会。后期主要还是将最简单的东西多看看吧,稳住。有编程题目,填空题目,选择题。

2021-07-02 19:42:39 754

原创 PCI Express Base Specification Revision 3.0 英文手册 免费下载

自己花钱找的资源免费分享给所有学习PCIe的同学们:百度链接:链接:https://pan.baidu.com/s/1lydqD_SiS54bq7Zyz9VsCA提取码:evpx

2021-05-23 12:38:38 4908 19

原创 Xilinx FPGA AXI4 总线学习和参考代码

AXI 总线概述以下的网址讲的非常详细,不懂的可以详细看看。我在这里也不想浪费时间了,最主要看各种信号的含义:参考网址:https://blog.csdn.net/meng1506789/article/details/111565579?ops_request_misc=%257B%2522request%255Fid%2522%253A%2522162115029116780265443223%2522%252C%2522scm%2522%253A%252220140713.130102334.

2021-05-16 16:11:48 1729 3

原创 VS2015 无法安装,提示安装包损坏或丢失

安装包,我之前安装安装过,都是没有问题的,今天出现这个问题。这个问题真的很头疼,想重新安装,还安装不了,网上说什么的都有,有的说少了两个安装证书,....我都试了,我当时以为我的安装包真的坏了,我还特意去下了一个官方的压缩包。但是还是安装不了,一直提示我电脑的VS2015没有卸载掉,无法安装。我卸载又卸载不掉,一卸载,就蹦出VS2015 无法安装,提示安装包损坏或丢失。我怀疑自己了,开始重装电脑系统,系统装完了,还是遇到同样的我呢提,提示安装包损坏或丢失。我真的是无语了,但是在这个过程中

2021-04-23 22:02:38 7779 10

原创 数字下变频DDC

一定要理解带通采样定理的周期延拓clear all;close all;%采样参数T=9.005e-6;%采样时间fs=200e+6;%采样率n=round(T*fs);%采样点个数t=linspace(0,T,n);f=linspace(-fs/2,fs/2,n);A_lfm=1;%幅度B=20e+6;%带宽20hzk=B/T;%调频斜率f_lfm =120e+6;%初始频率102M%NCO 数字混频本振频率f2=120e+6;y_lfm= A_lfm*(c.

2021-04-06 18:59:49 1118 1

原创 7 系列 FPGA 时钟资源概述(1)

参考文档:UG472Chapter 1, Clocking Overview:时钟概述本章概述了7系列FPGA的时钟,比较了7系列FPGA的时钟和之前的FPGA代,并总结了7系列FPGA的时钟连接性。关于使用7系列fpga时钟资源的详细信息,见第2章时钟布线资源,第3章时钟管理单元(CMT)。Clocking Architecture Overview7系列fpga时钟资源用专用的全局和区域I/O和时钟资源来管理复杂和简单的时钟需求。时钟管理(CMT)提供时钟频率合成,deskew,和抖动.

2020-10-17 15:45:14 1636 1

原创 Aurora 8b/10b 协议和IP核设置

8B/10B编码8B/10B编码是1983年由IBM公司的Al Widmer和PeterFranaszek所提出的数据传输编码标准,目前已经被广泛应用到高速串行总线,如IEEE1394b、SATA、PCI-Express、Infini-band、FiberChannel、XAUI、RapidIO、USB 3.0的美好。8B/10B编码将待发送的8位数据转换成10位代码组,其目的是保证直流平衡,以及足够密集的电平转换。用途功能框图光纤通信的物理层介绍...

2020-08-08 15:41:50 3244

原创 动态调用动态库

1.动态库的使用主要有两种方式:动态链接库的静态调用需要.h .dll文件, 有时候甚至会用到.lib文件,在工程编译时就将库中的方法和类等引入2.动态链接库的动态调用在已知库文件内容的前提下,只使用.dll文件,动态的将其调用,本文就在描述此方法的实现。(库文件可使用dumpin等工具打开dll已查看)。动态链接提供了一种方法,使进程可以调用不属于其可执行代码的函数。函数的可执行代码位于一个 DLL 文件中,该 DLL 包含一个或多个已被编译、链接并与使用它们的进程分开存储的函数。DLL

2020-05-16 17:29:29 2083

转载 vivado 编辑 gvim ,quartus 使用gvim

下面这个链接:vivado 设置gvim编辑器http://www.fpgabbs.cn/forum.php?mod=viewthread&tid=969&highlight=vivado%2Bgvim下面这个链接:quartus 设置gvim编辑器//blog.csdn.net/qq_33231534/article/details/104834251?ops_...

2020-05-03 19:24:59 731

原创 理财书籍推荐

你想阅读理财的书籍,就说明开始想学习理财了,想改变自己的人生,想在未来给自己的家人一个好的生活条件。理财的书籍有很多,我自己也看了不少,下面是自己推荐的启蒙的书籍。这些书籍对我影响还是很大的,这些书中的道理值得我们不断的思考,这些道理是对于理财思维的转变,就比如说学会减少自己的负债,学会控制自己的花销,学会分散投资....。理财的思维转变真的很重要。《富爸爸穷爸爸》这本书表达的最重要的...

2020-03-27 21:10:49 377

原创 SDRAM

SDRAM主要的原理过程,首先就是要进行初始化,初始化一共有四个过程,在这些过程中,可能会有一些等待周期。然后初始化结束之后进入工作状态。其中工作状态就是写操作,读操作,还有就是自动刷新操作。如果没有写读命令时,刷新操作也会定时工作,这也是为什么sdram,就做动态存储器。如果一旦有读写命令请求时,就会进入突发读写状态。这个是SDRAM的常见参数...

2020-02-23 10:42:42 498

转载 C++函数重载

好的博客论文:https://blog.csdn.net/alidada_blog/article/details/80734900https://blog.csdn.net/zhanghow/article/details/53588458

2020-02-14 10:45:50 83

原创 组合电路

这是一个多路选择器的程序顶层文件module muxtwo(input sys_clk,input sys_rst_n,input a,input b,input sel,output reg out);always @(*)begin if(!sel) out=a; else out=b;endendm...

2020-02-13 10:54:41 223

原创 concurrent assignment to a non-net out is not permitted

定义out为wire 类型,就可以解决掉

2020-02-13 10:46:36 13977 5

原创 C++ 中的内联函数,或者是内置函数

//例 4.4 函数指定为内置函数。//C++提供一种提高效率的方法, 即在编译时将所调用函数的代码直接嵌入到主调函数中, 而不是将流程转出去。 这种嵌入到主调函数中的函数称为内置函数(inline function), 又称内嵌函数。 在有些书中把它译成内联函数。指定内置函数的方法很简单, 只需在函数首行的左端加一个关键字 inline即可。#include <iostream&g...

2020-02-13 09:52:25 479

基于Vivado图像算法处理的仿真搭建

在Vivado中通过仿真处理图像算法,提高开发速度,减少对于硬件的依赖

2022-05-16

FPGA图像处理仿真平台搭建

搭建一个基本的FPGA图像处理仿真平台。读取bmp图像信息,然后按照摄像头时序输出,最后经过RGB888转YCbCr和二值化算法提取车牌信息,然后输出图像结果。

2022-04-18

xilinx_simulation_prj.rar

AXi4 参考代码

2021-05-16

PCI+EXPRESS体系结构导读.pdf

里面详细的讲解了PCIe 的协议,多多看看协议,多学习还是很有帮助的

2021-03-15

k60学习资料

初级k60学习者最好的伙伴,希望对你们有帮组,加油

2016-09-25

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除