- 博客(13)
- 资源 (8)
- 收藏
- 关注
转载 FPGA入门之三 Verilog实现LED流水灯 计数器+状态机+分频
如果点亮四个LED呢LED位宽为4,`timescale 1ns / 1psmodule myled(clk,rst_n,led);input clk;input rst_n;output reg [3:0]led;always @(posedge clk or negedge rst_n)beginif(!rst_n) led <= 4'b0000;els...
2019-09-10 18:04:33 6122 1
原创 FPGA入门之一 标准LED灯试验
一、按键按下实现LED点亮当LED引脚输出低电平时LED点亮,(1)代码实现如下`timescale 1ns / 1psmodule myled(clk,rst_n,led);input clk;input rst_n;output reg led;always @(posedge clk or negedge rst_n)beginif(!rst_n) led...
2019-09-09 20:56:59 294
转载 FPGA 基础学习之二 DEBUG LLA
Vivado和ISE相比ChipScope已经大幅改变,很多人都不习惯。在ISE中称为ChipScope而Vivado中就称为in system debug。下面就介绍Vivado中如何使用debug工具。Debug分为3个阶段:1. 探测信号:在设计中标志想要查看的信号2. 布局布线:给包含了debug IP的设计布局布线3. 分析:上板看信号一 探测信号探测信号有2种...
2019-09-09 20:10:52 799
原创 VS2017安装提示:ManifestSignatureVerificationFailedException
使用Microsoft.VisualStudio.Setup.Security.ManifestSignatureVerificationFailedException: The installer manifest failed signature validation.解决办法1:导入证书:vs2017offline目录下的certificates文件夹下的三个证书文件:manifestCou...
2018-06-19 16:54:15 4282
转载 (多核DSP快速入门)SYS/BIOS入门
原创文章转载请注册来源http://blog.csdn.net/tostq系列教程目录:http://blog.csdn.net/tostq/article/details/51245979 SYS/BIOS是一个可扩展的实时内核(或者说是操作系统),其提供了许多模块化的APIs(应用程序接口),支持抢占式多线程,硬件抽象,实时分析和配置工具,其设计目的是为了最大限度地减少对内存...
2018-06-07 15:17:36 8998 2
原创 提示:Android ADT error, dx.jar was not loaded from the SDK folder
升级以后,DEBUG或者生成apk时,提示dx.jar was not loaded from the SDK folder。网络上试了N种办法没有解决,最后,在https://stackoverflow.com/questions/5228453/android-adt-error-dx-jar-was-not-loaded-from-the-sdk-folder中,solution倒没有解决,...
2018-03-02 21:45:42 421
转载 新建或者导入项目出现"building gradle project info"一直卡住的解决方法
Android Studio导入项目的时候,一直卡在Building gradle project info这一步,据说主要原因还是因为被墙的结果。只能离线下载:所有的gradle文件的地址: 一般下载 后缀为 all 那个文件http://services.gradle.org/distributions/离线包下载导入方式查看所需gradle版本:打开C:\Users\用户名\.gradle\...
2018-02-24 14:47:13 455
原创 sqlite3使用简介
sqlite3使用简介转载:http://www.cnblogs.com/kfqcome/archive/2011/06/27/2136999.html一.使用流程要使用sqlite,需要从sqlite官网下载到三个文件,分别为sqlite3.lib,sqlite3.dll,sqlite3.h,然后再在自己的工程中配置好头文件和库文件,同时将dll文件放到当前目录下,就完成配置
2016-06-12 20:42:06 2487
转载 不推荐使用sqlite3_get_table,而是使用sqlite3_prepare_v2替代
原来一直使用sqlite3_get_table,发现效率低下,而且官方推荐使用sqlite3_prepare_v2替代,特继续学习#include "sqlite3.h" #include #include #include #pragma comment(lib, "sqlite3.lib") typedef struct per{ char *
2016-06-12 19:57:34 7675
原创 安卓Activity的自定义标题的问题
在一般的自定义标题里,都说明只需要如下代码:requestWindowFeature(Window.FEATURE_CUSTOM_TITLE);setContentView(R.layout.activity_main); getWindow().setFeatureInt(Window.FEATURE_CUSTOM_TITLE, R.layout.titlebar_plan);
2014-09-06 23:02:56 534
转载 android Installation error: INSTALL_FAILED_CONTAINER_ERRO
当工程使用android:installLocation="preferExternal" 时,某些时候会出现Installation error: INSTALL_FAILED_CONTAINER_ERROR解决办法:修改为android:installLocation="auto" 即可
2014-08-04 06:43:18 585
翻译 Android SDK版本和ADT版本
Android早期的版本号有点“混乱”,比如Android 2.2对应的ADT版本为ADT-0.9.9而Android 2.3对应的的ADT版本则突然“跃迁”为 ADT-8.0.0.zip。而且Android SDK还包含SDK Tools和SDK Platform两个东西,它们的意义也不同,它们也有各自的版本号,因此有些人在此处容易混淆,下面将它们之间的对应关系进行一下简单的归纳:
2014-08-02 10:54:15 885
matplotlibV2.0
2017-12-22
Fundamentals of Global Positioning System Receivers A Software Approach2
2015-09-26
Fundamentals of Global Positioning System Receivers A Software Approach
2015-09-26
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人