自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(55)
  • 资源 (8)
  • 收藏
  • 关注

原创 功耗:Leakage Power

静态功率是电路不活动或空闲时消耗的功率。即所有输入都处于保持有效电平,没有开关活动,并且电路没有充电。然而,即使在这种“稳定状态”下,器件中也会存在一些泄漏电流,这会导致泄漏功率。这种功耗不取决于输入条件或负载电容,而是取决于器件。...

2022-07-25 09:19:34 1610 1

原创 setup和hold timing分析不满足是解决方法

setup violation主要就是设法剪掉critical path的delay,要么pipeline(流水线分一下),要么retiming,要么把combination往前后级挪一挪。hold time violationhold time violation是clock tree的skew引起的。主要的宗旨就是设法加前面一级combination的delay,比如加buffer什么的......

2022-07-24 09:07:37 1572

原创 《Intel Arria 10 Avalon-MM DMA Interface for PCI Express Solutions User Guide》文档学习

《Intel Arria 10 Avalon-MM DMA Interface for PCI Express Solutions User Guide》文档学习1. Datasheetarria10和cyclone10中pcie ip协议支持pcie2.0和pcie3.0pcie ip对pcie协议进行精简,比如删除了TLP encoding and decodingip中包含read.........

2022-07-24 09:04:28 319

原创 gvim使用笔记

方法注意这里 < Ctrl-V> < Ctrl-M > 意思是按 Ctrl+V 然后按 Ctrl+M,按完这个组合键后会显示 ^M。对于已经在文本中有Table键,需要在GVIM命令行模式下直接替换。方法:另外,GVIM中vimrc设置,后续在gvim中编辑时会自动替换:如果没有给定4,则用当前的tab宽度设定替换为space。其它相关命令:这种设置只是针对当前的文件。如果想让设置对所有的文件都有效,可以修改Vim的配置文件.vimrc,将设置命令添加到文件中。...

2022-07-09 05:07:36 335

原创 Linux grep根据关键字匹配

在Linux环境下,查看文件内容时,很多时候需要查看指定关键字的前后几行,如查看日志文件时,如果日志文件太大,想直接在Linux 终端中查看,可以grep ‘partten’ filename 进行过滤,如果想查看匹配行的前后几行,可通过在grep后面添加参数来实现,具体如下:......

2022-07-02 06:25:08 607

原创 UMC-GPIO-Databook

GPIO

2022-04-30 11:52:41 270

原创 SOC架构知识框架

1. SOC架构主要做system ,根据功能define 模块。2. SOC integrating难点和重点:Bus, memory , DMA,flash,arbiter, 各种controller, 各种外设;SOC 架构师不必mastering 每一个模块,但是要mastering 主要模块 和interface , 比如cpu Bus/interface , menory interface ,DMA ,Arbiter … 能够根据功能做出最适合的system ,还要考虑功耗,面积… 大

2022-04-26 23:10:17 2233

原创 DC综合——lib库转db库

DC综合

2022-03-16 14:18:42 3253 1

原创 gvim命令笔记

gvim命令笔记查到替换作用范围查到替换????(substitute)命令用来查找和替换字符串。语法如下::{作用范围}s/{目标}/{替换}/{替换标志}例如:%s/foo/bar/会在全局范围(%)查找foo并替换为bar,所有出现都会被替换作用范围作用范围分为当前行、全文、选区等等。当前行::s/foo/bar全文::%s/foo/bar选区,在Visual模式下选择区域后输入:,Vim即可自动补全为 :’<,’>。:'<,'>s/foo/bar

2021-12-18 14:13:09 646

转载 linux find 命令查找文件和文件夹

linux find 命令查找文件和文件夹查找目录:find /(查找范围) -name ‘查找关键字’ -type d查找文件:find /(查找范围) -name 查找关键字 -print详解:find命令用来在指定目录下查找文件。任何位于参数之前的字符串都将被视为欲查找的目录名。如果使用该命令时,不设置任何参数,则find命令将在当前目录下查找子目录与文件。并且将查找到的子目录和文件全部进行显示。语法find(选项)(参数)选项-amin<分钟>:查找在指定时间曾被存取过的

2021-09-06 15:23:17 1279

原创 FPGA静态时序分析——IO口时序(Input Delay /output Delay)

FPGA静态时序分析——IO口时序(Input Delay /output Delay)

2020-12-17 08:45:55 406

原创 modelsim中添加xilinx ip库

总体过程分两步:1)编译xilinx vivado中的ip lib2)添加1)中编译生成的lib到modelsim1 编译 ip lib1.1 打开vivado,在tools中现在compile simulation libraries1.2 编译设定,根据个人情况设定,我的设定个如下:2 添加编译好的lib到modelsim中在modelsim安装路径下有一个modelsim.ini文件,需要取消其只读属性,然后用记事本将其打开(由于格式不太喜欢我用的是UE),找到“modelsim_li

2020-11-30 08:53:27 1697

原创 IC设计数字后端工具分类及介绍

2020-10-19 12:14:47 2261

原创 技术文档阅读指导

datasheet阅读1 Switching Characteristics开关特性:包含Fmax,set/hold time,io delay等

2020-09-29 09:47:10 153

原创 centos7建立SAMBA文件共享

1 安装SAMBA[root@localhost ]# yum install samba #查看[root@localhost ]# rpm -qa | grep sambasamba-common-libs-4.8.3-4.el7.x86_64samba-libs-4.8.3-4.el7.x86_64samba-common-tools-4.8.3-4.el7.x86_64samba-common-4.8.3-4.el7.noarchsamba-client-libs-4.8.3-4.

2020-09-12 15:16:01 119

原创 戴尔(DELL)台式机optiplex 7080安装centos经验

戴尔台式机optiplex 7080安装centos经验戴尔的台式机安装centos坑很多,个人在安装过程中碰到一下几个问题:开机按F2进入BIOS中,完成一下几种设置1)系统启动顺序设置成UEFI优先级最高2)找到磁盘模式设置,更改成AHCI模式,不然新装系统无法读取到硬盘3)关闭BIOS启动安装使能4)硬盘需要改成GUID模式,非MBR5)进入系统安装界面选择第一项,按e,并对如下位置信息进行编辑修改,修改有两种方式:1)windows下查看u盘名字(名字有空格的话进行修改,可以使

2020-09-09 21:11:23 8283 4

原创 Linux:CentOS7.4新建用户并授权

Linux:CentOS7.4新建用户并授权链接:https://www.cnblogs.com/imyalost/p/9801426.html

2020-09-08 23:14:58 102

原创 word标题中小黑块问题解决方法

相信很多人跟我一样,多次定义新的多级列表,会导致列表编号变成下面这样黑块在百度搜索结果尝试了鼠标左键选中应用样式,文档关闭后打开问题依旧;还是得感谢万能的Google,帮我找到了答案。1 问题根因:The problem occurs because the font characteristics in the list template have become corrupted.大概意思就是模板中的字体损坏了,英文不好认不全囧。2 解决步骤:2.1 word-2013 视图->宏

2020-08-19 11:36:25 1011

原创 vivado2019.2软件安装及license添加

vivado2019.2软件安装过程介绍1 安装过程介绍参照如下链接博客:2 关于官网下载速度慢问题1 安装过程介绍参照如下链接博客:https://blog.csdn.net/zerolord/article/details/1064317622 关于官网下载速度慢问题我自己通过直接从官网下载时速度很慢,只有100多kb/s,安装了个IDM后下载速度飞起,如下图:软件下载地址链接:官网链接...

2020-07-23 14:43:35 25466 3

原创 Modelsim仿真问题归纳

1 命令行窗口打印Waiting for lock问题:使用modelsim时,命令行窗口打印如下,导致仿真无法进行下午:原因:猜测可能是破解的问题,具体原因不确定解决方法:删除work目录下的_lock文件,可以删除整个work目录重新执行仿真脚本即可...

2020-07-08 09:58:35 1865

原创 Qsys生成simulator时产生的tcl脚本建立仿真

用Qsys生成simulator时产生的tcl脚本建立仿真的过程:对QSYS的仿真采用其自己生成的sim文件夹中的tcl脚本,不用自己在do文件中加入IP文件列表了,可以比较快速的建立qsys的仿真工程。针对该qsys的testbench还是得自己建的。过程如下:针对qsys核建立测试工程将msim_setup.tcl中的# # TOP-LEVEL TEMPLATE - BEGIN 到# # TOP-LEVEL TEMPLATE - END 复制到另外一个文件,保存为mentor.do修改 me

2020-07-08 09:17:31 639

转载 Verilog有符号数与无符号数的数值运算

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。本文链接:https://blog.csdn.net/u014485485/article/details/79962316仿真工具:Vivado 2015.2一、无符号数1.高位溢出赋给一个位宽不够的数wire [3:0] a=4’b1111;//15wire [3:0] b=4’b0010;//2wire [3:0] c;assign c = a + b;//17=10001wir

2020-06-08 11:00:19 1724

原创 verilog中逻辑操作语法

verilog中逻辑操作语法一级目录二级目录三级目录1. 单目运算符2. 双目运算符3. 条件运算符4.拼接运算符5.运算优先级6. 注意事项一级目录二级目录三级目录1. 单目运算符+ // Unary plus- // Unary minus! // Logical NOT~ // Bitwise NOT& // Reductive AND~&

2020-06-03 09:58:02 2581

原创 UWB协议:IEEE 802.15.4A‐2011学习

UWB基带项目笔记1. PHY协议1.1 发送处理1.1.1 SHR1.1.2 PHR1.1.3 DATA1.2 接收处理三级目录2. MAC协议2.1 SHR同步过程1. PHY协议UWB phy处理基本流程如下框图:UWB PHY相关参数:1.1 发送处理1.1.1 SHRSHR preamble位于PHR前,用于AGC设置,天线分集选择,定时采集,粗频偏和细频偏恢复,分组和帧同步,信道估计,测距前沿信号跟踪。preamble相关设置参数:SHR包含两部分:1)SYNC长度种类

2020-05-30 15:32:55 11752 11

原创 python中运算优先级

python中运算优先级1. Python运算符优先级2. 多个逻辑运算优先级1. Python运算符优先级以下表格列出了从最高到最低优先级的所有运算符:2. 多个逻辑运算优先级当not和and及or在一起运算时,优先级为是not>and>or...

2020-05-26 17:12:04 1266

原创 TimeQuest中取值为负数的建立时间问题

TimeQuest中取值为负数的建立时间问题使用quartus进行时序分析中发现TimeQuest中utsu出现负值,问题原因及分析如在下链接:https://www.veryarm.com/127446.html结论:TimeQuest在这一点上是没错的。负的建立时间是特定时序模型的特点,模型在器件上不同的位置具有不同的特征参数。负的建立时间和寄存器靠近引脚有关。我们不必关心,交给工具去处理好了。...

2020-05-12 15:33:10 1077

原创 numpy函数使用笔记

1 numpy.insertnumpy.insert可以有三个参数(arr,obj,values),也可以有4个参数(arr,obj,values,axis):第一个参数arr是一个数组,可以是一维的也可以是多维的,在arr的基础上 插入元素第二个参数obj是元素插入的位置第三个参数values是需要插入的数值第四个参数axis是指示在哪一个轴上对应的插入位置进行插入以下几点说明:1...

2020-05-08 10:34:30 323

原创 复数乘法器设计

复数乘法器等效变换复数乘法运算是正常计算过程需要4个乘法,2个加法,逻辑设计过程中乘法器相对加法器需要更到的与或非门逻辑来实现,可以进行如下等效变换,减少乘法器使用:(a+bi) * (c+di) = (ac-bd) + (bc+ad)i = ac-da + da -bd + (bc-ca+ca+ad)i =a(...

2020-05-07 09:01:23 1355

原创 git操作提示please tell me who you are问题

1 git commit时问题2 问题原因是因为在创建git文件夹的时候信息不完善导致的。解决方法所以解决方法是当出现这个上述提示后,接着补充。在命令行中执行以下操作即可:git config --global user.email “[email protected]”git config --global user.name “min.wen”注意:“ 前面是...

2020-04-29 10:55:01 1050

原创 window系统下安装tensorflow过程

1 安装Anacondahttps://mirrors.tuna.tsinghua.edu.cn/anaconda/archive/2 添加系统环境变量cmd窗口输入path,如果anaconda环境变量已经设置好,则调过此步骤,没有则把如下路径设置到你的系统环境变量path中D:\program\Anaconda3;D:\program\Anaconda3\Scripts;D:\pr...

2020-04-03 11:07:45 1896 2

原创 ubuntu下设置mstsc远程访问协议

1.安装登录器sudo apt-get install xdm过程中建议选择使用xdm为默认登录器:2.安装xfce4 (原因是xrdp与unity和gnome不兼容,可以连接,单显示花屏)sudo apt-get install xfce43.安装xrdp和协议sudo apt-get install xrdpsudo apt-get install vnc4server tig...

2019-12-23 14:25:22 679

原创 Ubuntu中双击后运行shell脚本设置

在ubuntu中编辑好shell脚本后可以通过设置文件执行权限及鼠标快捷键来快速便捷执行shell脚本,具体设置步骤如下:1 修改shell脚本权限chmod +x test.sh2 配置双击执行文件快捷键鼠标选中待执行文件,在窗口左上角edit菜单中选择preference设计双击执行快捷键,如下图:完成已上设置后,在系统中即可以鼠标双击执行sh脚本...

2019-12-04 10:48:17 1840

原创 Linux(Ubuntu)安装ssh服务

Linux(Ubuntu)安装ssh服务在终端(Ctrl + Alt + T )输入 $ps -e | grep ssh看到 “ ssh-agent ” 和 “sshd” ,表示没有安装服务,或没有开机启动1、安装SSH输入:sudo apt-get install openssh-server2、启动服务/etc/init.d/ssh start3、停止服务/etc/init.d...

2019-11-12 15:03:48 122

原创 C语言中常见语法规则

1 头文件应用""和<>的区别C语言中<>和“”的区别如下:1、<>先去系统目录中找头文件,如果没有在到当前目录下找。所以像标准的头文件 stdio.h和 stdlib.h等用这个方法。2、""首先在当前目录下寻找,如果找不到,再到系统目录中寻找。 这个用于include自定义的头文件,让系统优先使用当前目录中定义的。未完待续!...

2019-11-11 20:25:33 1358

转载 Could not write metadata for '/RemoteSystemsTempFiles'

这是由于删除一个项目时,没有同时在硬盘上删除该项目,而后又到硬盘文件系统中删除了该项目,才出现这问题的。方法一:到eclipse工作空间workspace中找到.metadata.plugins\org.eclipse.core.resources.projects在其下面新建一个RemoteSystemsTempFiles再打开eclipse,在项目列表中,就会出现刚刚新建的RemoteS...

2019-11-11 14:29:37 389

原创 ubuntu服务器和windows系统samba共享目录搭建

ubuntu终端中完成1-4步骤,然后访问计算电脑中按5步进行访问1 .安装sambasudo apt-get -y install samba smbclient2 .配置samba服务器sudo tee -a /etc/samba/smb.conf >/dev/null <<eof[share]comment = Shared Folder require p...

2019-11-07 12:41:17 102

原创 linux下静态IP设置

1 修改网卡配置文件$ vi /etc/network/interfaces输入如下内容,注意enp2s0为网卡名,可以通过ifconfig查看网卡名称:auto loiface lo inet loopback#ip configauto enp2s0iface enp2s0 inet staticaddress 192.168.105.55netmask 255.255.25...

2019-11-06 17:57:38 88

转载 i2c协议解读(附读写过程c代码)

1.what is I2C?简单讲就是用来传输数据的两根线:一根数据线(SDA)一根时钟线(SCL)2.I2C怎么传输的?(1)基本过程:1.主机发出开始信号2.主机接着发出一字节的从机地址信息,其中最低位为读写控制码(1为读、0为写), 高七位为从机器件地址3.从机发出认可信号4.主机开始发送信号,每发完一字节后,从机发出认可信号给主机5.主机发出停止信号(2)对以上信号的具...

2019-10-21 14:29:33 5210

原创 verilog中reg,integer的使用规则

verilog中integer的使用1. verilog中常用的数据类型Verilog中共有19种数据类型。1)基本的四种类型:reg型、wire型、integer型、parameter型。其他类型:large型、medium型、small型、scalared型、time型、tri型、trio型、tril型、triand型、trior型、trireg型、vectored型、wand型和w......

2019-08-07 15:51:31 11433

转载 verilog 宏编译语句使用

一般情况下,Verilog HDL源程序中所有的行都参加编译。但是有时候希望对其中的一部份内容只有在条件满足的时候才进行编译,也就是对一部分内容指定编译的条件,这就是“条件编译”。有时,希望当满足条件时对一组语句进行编译,当条件不满足时则对另外一组语句进行编译。条件编译命令的几种形式:(1)`ifdef宏名(标识符) 程序段1 `else 程序...

2019-08-05 15:34:10 1708

IEEE 802.15.4A-2011.pdf

UWB协议:IEEE 802.15.4A‐2011协议,原版,用于学习和研究超带宽通信技术,包含phy和mac层,基于2006版本修订

2020-05-30

基于verilog代码实现四线制spi接口设计及仿真工程.zip

个人开发项目中使用到的spi接口模块设计,包含源代码及仿真工程,共享出来供大家交流学习。接口相对比较简答,采用四线制,主要涉及到数据接收发送过程中状态机控制转换及数据移位操作;模块仿真工具基于modelsim,使用到的ram ip通过quartus生成,运行仿真工程前需按照tb.do设置好quartus lib的路径后,windows下直接双击 do_tb.bat即可运行模块仿真

2019-07-22

基于maltalb神经网络代码实现

基于matlab实现常见神经网络,包含bp神经网络的数据分类,pid,rbf,grnn,hopfield,svm,som,elman

2019-02-25

pcie接口协议学习资料

文档中包含pcie1.0,pcie2.0,pcie3.0英文原版资料,资料齐全,供需要tx学习

2019-02-25

基于verilog代码实现fpga ethernet接口

基于quartus fpga实现ethernet的发送模块代码,包含以太网组帧结构及状态转换控制

2019-02-25

python3.7-amd windows64,numpy1.15.0

python3.7-amd windows64,numpy1.15.0官网最新版本,欢迎下载

2018-07-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除