自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(19)
  • 资源 (4)
  • 收藏
  • 关注

原创 ZYNQ跑系统 系列(四) AXI-DMA的linux下运行

AXI-DMA的linux驱动一、搭建硬件环境vivado版本2017.4,芯片为7010,不过不管什么版本和芯片大致步骤是一样的 硬件平台PL的搭建同ZYNQ基础系列(六) DMA基本用法,在这个工程的基础上添加SD卡(根据自己的开发板硬件选择相应的引脚) 然后直接生成bit文件,然后记得要导出硬件(包含bit文件)进SDK二、生成设备树1.解压设备树工具文件夹到一个地方...

2018-05-30 10:47:42 34518 51

原创 FPGA设计重要基础

FPGA设计的重要基础速度&面积 当一个工程的时序裕量很充足,可达速度完全高于所需速度时,可以牺牲速度优势换取面积的节约(消耗时序裕量省资源);当一个工程的时序裕量要求很高,很难到达所需速度时,可以牺牲面积资源来换取速度(耗资源保证时序裕量),下面介绍具体互换的方法:1>乒乓操作(面积换速度) 假如上图A的输入速率是100Mbps,分流之后,B1和B2的速度都分别为5...

2018-04-23 14:59:43 1606

原创 ZYNQ基础系列(七) LWIP数据通路 -- PL数据->PS->PC机(TCP)

LWIP数据通路实验准备:基础概念 以太网MAC是一个基础模块,它使得我们可以实现一个TCP/IP协议栈,协议使得应用可以基于网络来通信。如果想要解析一个TCP/IP协议栈,需要了解以下层次: • 第一层——物理层:(描述物理连接)主要由外部物理层芯片实现 • 第二层——数据链路层:(描述物理层上数据传输的途径)可以由PS的MAC实现 • 第三层——网络层:(描述不...

2018-04-10 16:56:35 26147 75

原创 ZYNQ基础系列(六) DMA基本用法

DMA 环路测试涉及到高速数据传输时,DMA就显得非常重要了,本文的DMA主要是对PL侧的AXI DMA核进行介绍(不涉及PS侧的DMA控制器)。AXI DMA的用法基本是:PS通过AXI-lite向AXI DMA发送指令,AXI DMA通过HP通路和DDR交换数据,PL通过AXI-S读写DMA的数据。实验思路首先设计一个最基本的DMA环路 实验思路是:首先PS通过AXI-lit...

2018-03-30 11:00:42 49663 41

原创 ZYNQ基础系列(五) AMP模式 双裸核CPU同时运行

AMP模式 双核CPU同时运行从软件的角度来看,多核处理器的运行模式有三种: AMP(非对称多进程):多个核心相对独立的运行不同的任务,每个核心可能运行不同的操作系统或裸机程序,但是有一个主要核心,用来控制整个系统以及其它从核心 SMP(对称多进程):一个操作系统同等的管理各个内核,例如PC机 BMP(受约束多进程):与SMP类似,但开发者可以指定将某个任务仅在某个指定内核上执行 ...

2018-02-18 18:41:54 24029 5

原创 ZYNQ 高速接口系列(一) PCIe接口

PCIe 学习笔记一、PCIe概况随着现代处理器技术的发展,使用高速差分总线替代并行总线已是大势所趋。与单端并行信号相比,高速差分信号可以使用更高的时钟频率,从而可以使用更少的信号线达到更高的通讯速度。PCIe总线解决了PCI总线的不足,它的发展将取代PCI成为新型的数据总线,其提供了更加完善的性能,更多的功能,更强的可扩展性和更低的成本 在PCIE中有两种数据传输方式: 1&gt...

2018-01-25 10:08:39 34913 6

原创 ZYNQ HLS工具系列(基础知识篇) HLS从入门到上天(未完)

HLS学习笔记在ZYNQ HLS工具系列(一)中,体会到了HLS的强大,本篇将持续积累对HLS的学习,加固HLS的基础一、HLS工作过程 如图,HLS的C到硬件,有几个重要步骤: 调度: 用来确定操作发生于哪几个时钟周期(以及是否并行),调度要考虑到控制逻辑提取(可能生成状态机)以及用户的指令 绑定: 用于确定每个操作所使用的硬件单元,绑定要考虑到元件的延时以及用户的指令

2018-01-22 22:05:48 10483 2

原创 ZYNQ基础系列(四)VTC+VDMA+Vid_Out核 开始构建一个简单的显示通路

ZYNQ7010把SD卡的图片显示到HDMI在ZYNQ基础系列(三)中有相关IP核的初步介绍,在已有的基础上可以搭建一个基础的显示通路了 实验目的:在Mi701N开发板的基础上,驱动800*600的显示屏输出图片(图片文件[.bin文件]存放SD卡中)一、PL部分实现大体框图: AXI接口连接模块和复位模块是通过自动生成的rgb2dvi模块:用于IO口输出HDMI信号 [在

2018-01-15 16:49:42 6380 2

原创 ZYNQ基础系列(三)VTC+VDMA+Vid_Out核 构建一个简单的显示通路的准备工作

构建一个简单的显示通路的部件在上一篇ZYNQ基础系列(二) IO口模拟HDMI中,介绍了VGA到HDMI输出的IP核的使用方法,本文将先介绍三个VIVADO自带的视频输出通路相关的重要IP核,搭建一个比较简单的视频通路,为不久之后的摄像头到显示屏通路打下基础:1> Video Timing Controller2> AXI4-Stream to Video Out3> Video

2018-01-04 10:19:13 14621 3

原创 VIVADO 之 TCL脚本工具 [上] (基本语法)

TCL脚本语言注:TCL在VIVADO中的具体使用将写在VIVADO 之 TCL脚本工具 [下]中,本文只有TCL语法Tcl(Tool Command Language)是一种很通用的脚本语言,它几乎在所有的平台上都可以解释运行,而且VIVADO也提供了TCL命令行。最近发现TCL脚本貌似比GUI下操作VIVADO效率高一些,方便一些。而且最近跟着官网文档做SDSOC的flatform

2017-12-25 21:32:41 45189 8

原创 ZYNQ HLS工具系列(一) HLS图像处理入门

HLS高层次综合,通过它可以用C/C++、SystemC及OPENCL编写FPGA程序,实现相应功能,大大加快开发速度;OPENCV是开源计算机视觉库,支持C/C++、PYTHON等多种语言,OPENCV的设计可以直接运行在ZYNQ的PS上,但是用ARM处理高清视频处理的时候,可能就会处理不过来,于是会使用HLS OPENCV进行硬件加速,本文主要是针对HLS的入门操作

2017-12-19 21:33:17 15241 11

原创 ZYNQ跑系统 系列(三) SDsoc方式移植linux

移植linux之SDsoc想让ZYNQ上跑个linux系统,除了之前的两篇文章中的传统方式和petalinux方式外,还有一种更简单快捷的SDsoc,xilinx的SDx系列开发工具主要是面向软件开发人员和系统工程师,加快应用的开发,让软件开发者加入到项目中,SDsoc侧重的是对软件算法进行加速,本文仅仅是使用该工具生成一个linux环境下的helloworld应用SDsoc可以直接运行在

2017-12-15 23:44:40 9507 3

原创 ZYNQ基础系列(二) IO口模拟HDMI

IO口直接驱动HDMI接口HDMI主要用于给高清显示设备传输视频和音频数据,除了使用专门的HDMI芯片外,当然还可以用ZYNQ的PL部分产生相应的时序,本文就是用FPGA的IO口与HDMI显示设备直接进行通信 本文的工程和rgb2dvi的IP核都上传到了这里一、原理图本文采用的是米联客的Mi701开发板,可以看到IO口是直接连到接口上的,只加了一些简单的上拉增加一下驱动能力,IIC也...

2017-12-12 15:48:01 8192 2

原创 ZYNQ跑系统 系列(二) petalinux方式移植linux

移植linux之petalinux之前一篇博文中,提到了一种通用的传统移植方式,将linux移植到ZYNQ中的ARM芯片中。本文将针对xilinx的专用开发环境petalinux,进行安装、入门和开发

2017-12-06 22:22:15 44454 33

原创 PYTHON入门(三)

在前两篇中主要是针对面向过程的编程,这一篇作为python入门的终结篇,主要讨论一下面向对象的编程以及文件IO和异常处理

2017-12-04 17:01:40 418

原创 PYTHON入门(二)

这篇是python入门(一)的续篇,在稍微高一点的层次上,对学习python进行快速的入门

2017-12-02 11:02:23 436

原创 PYTHON入门(一)

Python语言是一种即简单又强大的编程语言。它不仅适合于初学者,也适合于专业人员使用,用Python编程是一种愉快的事,而不要看做是一种负担。

2017-11-30 20:04:14 2656

原创 ZYNQ跑系统 系列(一) 传统方式移植linux

移植linux之传统方式在ZYNQ开发时,有些情况下,为了降低开发的复杂性,或是提升系统运行的性能,在ARM上跑一个linux系统往往是一个不错的选择,而且linux系统一般集成了一些常用的成熟驱动,可以减少开发周期。本章将通过传统u-boot、kernel方式,完成移植。该方式虽然繁琐复杂,但是毕竟是一种通用的移植方式。

2017-11-28 20:18:40 46605 13

原创 ZYNQ基础系列(一) AXI总线通信

PS-PL通信之AXI总线在ZYNQ开发过程中,PS与PL之间的通信是不可避免的,除了MIO与EMIO通信外,还有一种更高速的接口与ARM核通信。本章将创建并测试一个基于高速AXI总线的IP核,以及调用并测试vivado自带的IP核。

2017-11-24 10:22:06 10269 1

hdmi_io_out(新)

本文件包含了一个RGB转差分HDMI输出的IP核,和一个产生时序并调用该IP核的VIVADO工程,本工程分辨率为800*600(可以根据博文中的表格更改分辨率),详情见博文:http://blog.csdn.net/long_fly/article/details/78773800 居然不支持删除原来的资源,也是醉了 这个IP比上一个IP,增加了一个总线,可以方便和其他视频IP直接连线

2018-01-02

SDsoc安装程序

SDsoc安装程序,使用详情见博客: http://blog.csdn.net/long_fly/article/details/78815047

2017-12-15

hdmi_io_out

本文件包含了一个RGB转差分HDMI输出的IP核,和一个产生时序并调用该IP核的VIVADO工程,本工程分辨率为800*600(可以根据博文中的表格更改分辨率),详情见博文:http://blog.csdn.net/long_fly/article/details/78773800

2017-12-12

Xilinx_Vivado_SDK_2017.1_0415_1_Lin64.bin

linux下的vivado,用于安装linux的编译环境,使用详情见http://blog.csdn.net/long_fly/article/details/78643258

2017-11-29

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除