自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Landebug的博客

赶在2012年攒张船票

  • 博客(69)
  • 资源 (12)
  • 收藏
  • 关注

翻译 JanusGraph 2.1. 架构预览

Architectural Overviewhttp://docs.janusgraph.org/latest/arch-overview.htmlJanusGraph是一个图数据库引擎. JanusGraph集中在图的序列化, 图的数据模型和高效的查询. 此外JanusGraph依赖hadoop来做图的统计和批量图操作. JanusGraph为数据存储,索引和客户端访

2018-01-05 18:52:57 5604 1

翻译 JanusGraph-配置(第四章)--英文翻译

Chapter 4. ConfigurationJanusGraph数据库集群通常包含一个或多个JanusGraph实例. 运行实例需要提供JanusGraph的配置参数. JanusGraph配置中定义了JanusGraph要使用哪些组件, 控制部署各个操作, 提供一系列的动态调整的参数, 来获得集群的最大性能.一般情况, JanusGraph配置必须提供

2018-01-04 17:28:44 3675 1

翻译 JanusGraph 入门

Getting Startedhttp://docs.janusgraph.org/latest/getting-started.htmlJanusGraph 第三章 入门本节中的例子展示了如何使用JanusGraph检索诸神关系图. 关系图如下图所示.抽象数据模型使用大家都熟知的Property Graph Model ,而且这个例子描述了罗马诸神中的人物和他

2018-01-03 19:00:14 18264 2

原创 bash 命令进阶

观看视频https://pan.baidu.com/s/1miiLKso提取码tsj3bash 命令进阶 part1两台虚拟机centos7-mini-----ip----------hostname-------192.168.0.141   centos7-1192.168.0.142   centos7-21. 搜索关键词

2017-08-20 17:37:57 587

原创 Vim练级

1 apple is a kind of fruit要替换两个标签直接的内容,定位到a上输入c/1111111111111

2017-05-18 17:18:49 474

原创 virtualbox 安装centos7 配置桥接网卡,实现主机和虚拟机互通,虚拟机可以访问外网,解决无法上网问题

virtualbox 安装centos7 配置桥接网卡,实现主机和虚拟机互通,虚拟机可以访问外网virtualbox:5.1.22 r115126主机:fedora25虚拟机:centos7-64位(CentOS-7-x86_64-DVD-1611.iso)1.获取主机ip 子网掩码 网关 dns等1.1 获取主机信息nmcli conn show -a

2017-05-08 14:45:54 36572 8

原创 virtualbox 最小化安装centos7 配置双网卡(nat和桥接)记录----已经过期

先说明一下为什么要配置双网卡?配置nat网络, 虚拟机可以通过主机访问外网, 通过桥接网络, 虚拟机绑定静态ip,可以和其他虚拟机通信最小化安装后,nat网卡没有启用,需要修改ifcfg-enp0s3[root@localhost network-scripts]# cat /etc/sysconfig/network-scripts/ifcfg-enp0s3TYPE=Et

2017-05-03 10:38:33 3006

原创 d3,放射,多层,关系图

2017-03-25 11:00:31 2117

原创 akka actor理解

1. actor的优势,     并行处理, 利用多核cpu, 提高运算效率;     把复杂的业务逻辑, 拆成几个小的片段, 降低复杂度;     天然分布式支持;    响应式编程  Reactive Programming2. 注意    actor之间传递的是不变的信息, 所以actor直接传递对象不太适合    创建actor的数量, 一般没要求; 1

2016-11-24 14:44:10 1729

原创 如何获得, 是按一定比例的分配的值

比如,有A B C D四个数,每次请求, 随机获得其中一个, 请求1w次,获得A的次数占总次数的百分比是90%, B是5%, C是3%, D是2%

2016-11-16 10:50:23 1728

原创 fedora22安装zeroc ice(记录)

参考https://zeroc.com/downloads/ice[chin@localhost cpp] $ cd /etc/yum.repos.d[chin@localhost yum.repos.d] $ sudo wget https://zeroc.com/download/rpm/zeroc-ice-el7.repo--2015-12-17 13:48:

2015-12-17 14:12:30 2650

转载 有三个线程T1 T2 T3,如何保证他们按顺序执行

T3先执行,在T3的run中,调用t2.join,让t2执行完成后再执行t3在T2的run中,调用t1.run,让t1执行完成后再让T2执行public class JoinTest2 { // 1.现在有T1、T2、T3三个线程,你怎样保证T2在T1执行完后执行,T3在T2执行完后执行 public static void main(String[] a

2015-09-22 10:22:20 27286 6

转载 Vim 常用快捷键

http://www.cnblogs.com/sld666666/archive/2010/04/05/1704462.html1.:sy on 语法高亮2.:set go =  (set gui option = nothing)3.:colo evening4. tabnew :d\test.txt (Open a new file)5.set go =e6

2015-09-01 09:52:23 425

原创 如何在spark中删除hdfs的某个文件夹

直接上代码val output = new Path("hdfs://master:9000/output/"); val hdfs = org.apache.hadoop.fs.FileSystem.get( new java.net.URI("hdfs://master:9000"), new org.apache.hadoop.conf.Configuration()

2015-08-12 17:56:05 10312 1

转载 VIM分屏指令

命令模式下::new,新建文件并分屏, 快捷键,Ctrl+W,然后马上按n键:spilt 水平分屏,将当前屏分为两个,水平的。   快捷键是:Ctrl + w, s:vsplit 垂直分屏,将当前屏分为两个,垂直的。  快捷键是:Ctrl + w, v:only 取消分屏,取消当前的屏,当前屏指的是光标所在屏。关闭当前屏:  Ctrl+w,c如何关闭其他窗口: Ctrl

2015-08-11 14:27:40 885

转载 java中线程队列BlockingQueue的用法

认识BlockingQueue阻塞队列,顾名思义,首先它是一个队列,而一个队列在数据结构中所起的作用大致如下图所示:从上图我们可以很清楚看到,通过一个共享的队列,可以使得数据由队列的一端输入,从另外一端输出;常用的队列主要有以下两种:(当然通过不同的实现方式,还可以延伸出很多不同类型的队列,DelayQueue就是其中的一种)  先进先出(FIFO):先插入的队列的元素也最先出队列,类

2015-07-30 12:29:39 2856

转载 Java泛型

public class GenericTest { public static void main(String[] args) { List list = new ArrayList(); list.add("qquumm"); list.add("cron"); list.add(11111); f

2015-07-27 14:30:41 434

原创 java回调

java回调

2015-07-24 09:50:19 517

转载 借贷模式

借贷模式

2015-07-24 09:37:26 610

转载 简明 Vim 练级攻略

http://coolshell.cn/articles/5426.html简明 Vim 练级攻略匹配当前所在的单词,* 向下查找;#向上查找c+v列模式,w调到下个单词的开头,e调到下个单词的末尾tx 调到下个x字符前fx 调到下个x字符上c+n c+p单词补全J → 把所有的行连接起来(变成一行) → 左右缩进= → 自动给缩进 (陈皓注:这个功能相

2015-07-02 13:47:40 775

原创 hadoop2.5.2 mahout0.10.1 测试文本分类器

hadoop2.5.2 mahout0.10.0 文本分词http://my.oschina.net/u/1047640/blog/262468mmseg4j-solr-2.2.0.jar,mmseg4j-core-1.9.1.jar,mmseg4j-analysis-1.9.1.jarhttp://download.csdn.net/detail/lu

2015-06-17 09:47:37 5672 9

原创 成员变量在构造器之后

111public class Super { public Super(){printThree();} //===2 public void printThree() { System.out.println("three"); }}public class Test extends Super { int three = (int)Math.P

2015-05-04 15:35:44 606

原创 for循环里套try-catch-finally,执行流程

package com.demo;public class Test { public static void main(String[] args) throws Exception{ String[] members = new String[4]; for (int count=0;count<6;count++) {

2015-04-24 20:01:44 9001 1

原创 ubuntu12.10 5步配置python环境

参考地址https://github.com/fisadev/fisa-vim-config1、安装vim ctags gitsudo apt-get install vim exuberant-ctags git2、安装pip (参考)下载 ez_setup.py get-pip.py 两个文件到本地$ python ez_setup.py$ python

2014-01-10 10:03:11 714

原创 自定义dialog

自定义dialog两个按钮一个按钮一个按钮3秒后自动消失loading

2013-12-25 14:45:49 594

原创 Android 手机获取时区

TimeZone tz = TimeZone.getDefault();String s = "TimeZone "+tz.getDisplayName(false, TimeZone.SHORT)+" Timezon id :: " +tz.getID();System.out.println(s);结果:TimeZone   GMT+08:00 Timezon id :: As

2013-09-06 14:43:15 27994 3

原创 Idea 快捷键整理

1、 Ctrl + Alt + L 格式化代码2、 Alt + Enter 自动导入 代码修正3、 Ctrl + /注释4、 Shift + Ctrl + / 注释5、 Ctrl + R 替换6、 Ctrl + F 查找7、 Ctrl + E 最近打开的文件8、 Alt + Insert 生成代码(get set 等方法)9、 Ctrl + P 方法参数提示10

2013-08-08 10:37:01 1972

原创 httpd jk_module模块 配置

LoadModule jk_module modules/mod_jk-1.2.31-httpd-2.2.3.soJKWorkersFile conf/workers.propertiesJkLogFile logs/mod_jk.logServerAdmin localhostDocumentRoot D:/apache-tomcat-6.0.35/webapps/ROOT/

2012-12-28 11:44:16 2181

原创 Apache http server 错误:Could not reliably determine the server's fully qualified domain name

安装 httpd-2.2.22-win32-x86-openssl-0.9.8t.msi成功,但不能启动,安装过程中的错误信息如图解决办法修改 conf/httpd.conf文件把 下边两行Listen 80#ServerName localhost:80改成Listen localhost:80ServerName localhost:80

2012-12-28 10:50:05 978

原创 如何在Intellij IDEA 一个module 导入另一个module

说明:test1是个java项目里边有个Person类,test2是个web项目,test2要用到test1的Person类1、先创建一个叫test1的java项目,新建一个Person类,如下图2、创建第2个项目test2勾选Web Application创建完成后,如下图2、配置test2Crtl+Shift+Alt+S 打开

2012-12-06 17:32:09 49016 3

转载 Iphone开发基础教程 (11章 基本数据持久性)--读书笔记

获取Documents目录NSArray *path = NSSearchPathForDirectoriesInDomains(NSDocumentDirectory, NSUserDomainMask, YES);NSString *documentsDirectory = [path objectAtIndex:0]; NSString *filename = [docu

2012-05-24 10:00:39 1148

转载 Xcode4.2自定义MainWindow.xib

1、新建Empty Application项目,2、新建文件MainWindow.xib3、在MainWindow.xib中,File's Owner的Class设为UIApplication,拖出一个Object到dock上,并将其Class设为DemoAppDelegate4、DemoAppDelegate.h@interface DemoAppDelegate : UIR

2012-05-12 07:02:23 694

转载 Iphone开发基础教程 (9章 导航控制器和表视图)--读书笔记

导航控制器UINavigationController是用于构建分层应用程序的主要工具UINavigationController是作为栈来实现的,这让它非常适合用于处理分层数据导航控制器维护一个视图控制器栈,任何类型的视图控制器都可以放入栈中。在设计导航控制器时,你需要指定用户看到的第一个视图,该视图是视图层次结构中最低层的视图,器控制器称为根视图控制器(root view co

2012-05-12 06:46:25 727

转载 Iphone开发基础教程 (7章 标签栏与选取器)--读书笔记

2012-05-10 22:11:10 550

转载 Iphone开发基础教程 (8章 表视图)--读书笔记

表视图是显示表数据的视图对象,它是UITableView类的一个实例,表中的每个可见行都由UITableviewCell类实现。表视图并不负责存储表中的数据,他们只存储足够绘制当前可见行的数据。表视图从遵循UITableviewDelegate协议的对象获取配置数据,从遵循UITableviewDataSource协议的对象获得行数据。第一个例子:SimpleTable1、新建

2012-05-04 09:55:08 1409

转载 Iphone开发基础教程 (10章 应用程序设置)--读书笔记

2012-05-04 09:54:51 547

转载 Iphone开发基础教程 example6 多视图应用

屏幕底部包含一个工具栏,工具栏包含一个按钮,视图中间为蓝色背景和一个按钮

2012-05-04 09:54:07 643

转载 Iphone开发基础教程 example5 自动旋转

1

2012-05-04 09:53:43 455

转载 Iphone开发基础教程 example4

slider实现(必会)1、在ViewController.xib文件中,从library中托出一个slider,一个label(并调整大小、文本)2、在ViewController.h文件中,添加sliderLabel变量和它的@property方法,添加方法sliderChanged#import @interface ViewController : UIViewCont

2012-05-04 09:53:13 674

转载 Iphone开发基础教程 example3

一、模型 - 视图 - 控制器范型创建模型的方法是设计一些OC类来保存应用程序数据。控制器组件有开发人员创建的类和特定与应用程序的类组成。多数情况下,UIKit框架中已有通用控制器类(UIViewController)的子类

2012-05-04 09:52:58 607

一键配置集群各节点ssh免密码登录

一键配置集群各节点ssh免密码登录 首先确保每个节点已经安装了expect ,确保服务器/root/script路径存在 修改每个节点ssh配置 vi /etc/ssh/ssh_config ------------------------------- # StrictHostKeyChecking ask ------------------------------- StrictHostKeyChecking no(取消注释并改为no) 说明: 脚本会将auto_ssh文件夹上传到服务器上,并在各节点上生成ssh key,并将公钥拷贝到集群各节点上 1 在ip.txt中输入各节点ip地址,一行一个ip 2 修改scp_to_cluster.sh和copy_id.sh的服务器用户名和密码 3 运行如下命令 # chmod 777 ./* #./distribute_file.sh ../auto_ssh /root/script

2015-10-15

mahout-examples-0.10.1-job.jar

mahout-examples-0.10.1-job.jar 已经包含分词程序,替换掉mahout默认的jar包

2015-06-17

mahout文本训练测试数据

mahout测试数据 raw文件夹下有5目录(camera computer household mobile MP3)每个目录下有1000多篇文章

2015-06-17

安装完fisa-vim-config后,~/.vim文件夹

ubuntu12.10 安装python环境 https://github.com/fisadev/fisa-vim-config 我本地的~/.vim文件夹

2014-01-10

Andoid 自定义对话框

系统自带的 AlertDialog 样式太丑陋 通过自定义布局文件

2013-12-25

quartz-1.6.0.jar

quartz-1.6.0.jar 集成了quartz发现不执行job,也没有错误信息,搞了半天缺少依赖包 commons-beanutils.jar commons-collections-3.1.jar commons-dbcp-1.2.1.jar commons-digester-1.7.jar commons-logging-api.jar commons-modeler-1.1.jar commons-pool-1.2.jar commons-validator-1.1.4.jar

2013-12-02

libaio-0.3.105-2.x86_64.rpm 数据管理

libaio-0.3.105-2.x86_64.rpm数据管理

2013-01-25

libcrypto.1.0.0.dylib

libcrypto.1.0.0.dylib

2013-01-13

Android文件下载示例

与网上流传一个itcast的多线程下载的示例不同, itcast的demo的效率有点低,下载一个2M的文件要1\2百秒, 可能需要进一步优化,本示例下载同样大小的文件需要6-7秒(下载速度都是200K左右) 暂不支持断点、多个文件同时下载, 架构清晰,可重复利用,如有问题欢迎斧正

2012-09-07

androidpn-server-0.5.0-src.zip

androidpn-server-0.5.0-src.zip 压缩包里是源码

2012-09-03

JQuery入门教程

JQuery入门教程,新手可以看看,大概需要30分钟。

2012-03-31

SecureCRT_6.5注册机+说明

SecureCRT_6.5注册机和说明,你懂得,你明白,你晓得,你给力

2012-03-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除