自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(50)
  • 资源 (8)
  • 收藏
  • 关注

原创 Opencv4+Python3.7+Pycharm2019学习系列文章

Opencv4+Python3.7+Pycharm2019学习文章目录:1,[Pycharm2019.2.3专业版配置](https://blog.csdn.net/l59565455/article/details/102144882)2,[Opencv-Python学习笔记(一):图像读取、显示、保存](https://blog.csdn.net/l59565455/article/detai...

2019-10-07 11:08:23 2809 2

原创 计数器——Verilog HDL语言

计数器任务要求相关知识逻辑原理同步16进制计数器真值表编程要求源代码任务要求根据所学的时序逻辑及数字电路的知识完成计数器的设计,验证同步16进制计数器的功能,进一步熟悉 Verilog HDL 文本设计流程, 掌握时序电路的设计仿真和测试方法。完善计数器电路的功能描述风格Verilog HDL 代码。相关知识逻辑原理计数器能记忆输入脉冲的个数, 用于定时、分频、产生节拍脉冲及进行数字运算等等。 加法计数器每输入一个 CP 脉冲, 加法计数器的计数值加一。 十六进制计数即从 0000 一直计数到 1

2020-06-01 18:01:57 13474 9

原创 显示译码器——Verilog HDL语言

显示译码器任务描述相关知识逻辑原理共阴数码管及其电路编程要求源代码任务描述根据所学的组合逻辑及数字电路的知识完成一个16进制7段数码显示译码器的设计,验证满足一个16进制7段数码显示译码器的规则,根据逻辑真值表和逻辑表达式完成表决功能。熟悉Quartus II的Verilog HDL文本设计流程,掌握组合逻辑电路的设计仿真和硬件测试的方法。最后完善一个16进制7段数码显示译码器电路的功能描述风格Verilog HDL 代码。相关知识逻辑原理7 段数码是纯组合电路,通常的小规模专用 IC,如 74

2020-06-01 18:01:40 8434 1

原创 全加器——Verilog HDL语言

全加器任务描述相关知识逻辑原理一位全加器真值表编程要求源代码任务描述根据所学的组合逻辑及数字电路的知识完成一位全加器的设计,验证满足一位全加器的规则,根据逻辑真值表和逻辑表达式完成表决功能。熟悉Quartus II的Verilog HDL文本设计流程,掌握组合逻辑电路的设计仿真和硬件测试的方法。最后完善一位全加器电路的功能描述风格Verilog HDL 代码。相关知识逻辑原理一位全加器电路中, A、B、Ci为 1 位数,Ci为来自低位的进位, A、 B 相加的结果为 So,产生的进位为 Co。设

2020-06-01 18:01:25 6923 2

原创 半加器——Verilog HDL语言

半加器任务描述相关知识逻辑原理一位半加器真值表编程要求源码任务描述根据所学的组合逻辑及数字电路的知识完成半加器的设计,验证满足一位半加器的规则,根据逻辑真值表和逻辑表达式完成表决功能。熟悉Quartus II的Verilog HDL文本设计流程,掌握组合逻辑电路的设计仿真和硬件测试的方法。最后完善一位半加器电路的功能描述风格Verilog HDL 代码。相关知识逻辑原理一位半加器电路中, A、B为两个 1 位数,不考虑来自低位的进位, A、 B 相加的结果为 So,产生的进位为 Co。设输入为

2020-06-01 18:01:12 5979 2

原创 多路选择器——Verilog HDL语言

多路选择器任务描述相关知识逻辑原理多路选择真值表编程要求源代码任务描述设计一个 2 选 1 多路选择器。进一步熟悉 Verilog HDL 设计流程,组合电路的设计和测试。相关知识逻辑原理在数字信号的传输过程中,有时需要从多路输入数据中选出某一路数据,完成此功能的逻辑器件称为数据选择器,即所谓多路开关,简称 MUX (Multiplexer)。2 选 1 多路选择器能在选择信号的控制下,从 2 路输入信号中选择其中的一路数据送到输出口。其真值表如下表所示。多路选择真值表编程要求为了完成判断

2020-06-01 18:00:56 10709 1

原创 三人表决电路——Verilog HDL语言

三人表决电路任务描述相关知识逻辑原理三人表决器真值表编程要求源代码任务描述根据所学的组合逻辑及数字电路的知识完成三人表决电路的设计,实现少数服从多数的表决规则,根据逻辑真值表和逻辑表达式完成表决功能。运用Verilog HDL进行设计,完善三人表决电路的功能描述风格代码,具备组合逻辑电路的设计仿真和测试的能力。相关知识逻辑原理三人表决电路中, 当表决某个提案时,多数人同意,则提案通过;同时有一个人具有否决权。若全票否决,也给出显示。设输入为 A、 B、 C,且 A 具有否决权。同意用1 表示,

2020-06-01 18:00:35 18266 5

原创 译码器设计——Verilog HDL语言

译码器设计任务描述相关知识3线-8线译码器的功能always语句事件时序控制case语句编程要求源代码任务描述设计一个3线-8线译码器。运用Verilog HDL进行设计,完善译码器的功能描述风格代码,具备组合逻辑电路的设计仿真和测试的能力。相关知识3线-8线译码器的功能;如何用always语句、case语句进行逻辑功能的描述。3线-8线译码器的功能译码是编码的逆过程,3线-8线译码器可以将n位二进制代码可译成2n种电路状态。译码器框图如下所示。always语句通俗的理解,alway

2020-06-01 18:00:04 5857 3

原创 编码器设计——Verilog HDL语言

编码器设计任务描述相关知识3位二进制优先编码器的功能always语句事件时序控制编程要求源代码任务描述设计一个3位二进制优先编码器。运用Verilog HDL进行设计,完善3位二进制优先编码器的功能描述风格代码,具备组合逻辑电路的设计仿真和测试的能力。相关知识3位二进制优先编码器的功能;如何用always语句、if语句进行逻辑功能的描述。3位二进制优先编码器的功能优先编码器允许同时输入两个以上编码信号,并按照事先规定的优先级别,对优先权最高的一个输入信号进行编码,编码器框图如下所示。a

2020-06-01 17:59:36 4583 5

原创 QT5.14——模拟交通灯(二)

QT模拟交通灯红绿灯交替小车移动今天我们接着上一篇文章继续完善我们的一个小程序。QT5.14——模拟交通灯(一)红绿灯交替先来简单说一下是实现得原理,在上一篇文章的界面布局中我们已经知道红绿灯其实就是一张PNG图片,所以我们实现红绿灯的亮和灭就是通过图片的显示与否来实现的。这就用到QT中的hide()和show()函数。当然这和真实的红绿灯是有所区别的,我们在这里仅是简单的模拟,所以不必太较...

2020-04-21 19:21:39 3599 6

原创 QT5.14——模拟交通灯(一)

QT5模拟交通灯素材准备界面布局红绿灯时间实现素材准备这里博主已经给备好素材,直接拿走!当然也可以自己搜集更美观的素材,做出你的精致美~ 提取码:s07g界面布局打开Ubuntu->QT Creator->创建工程->完成。见下图:添加资源文件(就是刚刚准备好的素材picture)。首先要将刚刚下载好的素材放在刚刚建的工程目录下面。选中项目,右键->A...

2020-04-17 17:05:41 4931 11

原创 奥利给!!字体/颜色对话框这么豪横的解释,赶紧PICK一下吧!!

上一篇文章介绍了如何创建基于对话框的模态对话框和非模态对话框。这篇文章为大家介绍一下字体对话框和颜色对话框的创建与实现。话不多说,我们先来看一下效果图。字体/颜色对话框主要功能介绍字体文本框创建实例步骤颜色文本框创建实例步骤:结果主要功能介绍此实例要实现的功能:生成一个对话框,对话框中放置一个“ 字体选择” 按钮和一个编辑框。点击“ 字体选择” 按钮将弹出字体对话框。编辑框用于显示所...

2020-03-13 18:20:19 2323 2

原创 一文搞懂如何创建基于对话框的模态对话框和非模态对话框

Windows应用程序工作的基本流程是从用户那里得到数据,经过相应的处理之后,再把处理结果输出到屏幕、打印机或者其他的输出设备上。那么,应用程序是如何从用户那里得到数据,并且再将修改后的数据显示给用户的呢?这就需要用到 Windows应用程序中一个很重要的用户接口 ——对话框。对话框基本认识常用控件介绍对话框的种类模态对话框非模态对话框对话框的新建和显示模态对话框的创建非模态对话框的创建对话框...

2020-03-12 01:15:35 4727 3

原创 Ubuntu16.04常用命令汇总

Ubuntu常用命令集合如何进入终端APT 软件工具文件和目录cd 跳向文件夹的命令ls 显示当前目录所有文件或文件夹创建文件夹删除文件或文件夹移动/复制文件到目录文件搜索解压压缩文件如何进入终端进入终端:Ctrl + Alt+T鼠标右键,选择打开终端,后者键盘输入E。APT 软件工具安装/更新一个 deb 包: apt-get install package_name升级列表...

2020-03-11 18:51:34 2493

原创 Opencv-Python学习笔记(十三):霍夫变换

本篇博客记录学习OpenCV-Python霍夫变换的相关知识。了解Hough转换的概念。 如何使用它来检测图像中的线条、圆。 将学习以下函数:cv2.HoughLines(),cv2.HoughLinesP(),cv.HoughCircles()。霍夫线变换理论如果可以用数学形式表示形状,霍夫变换是一种检测任何形状的流行技术。即使形状有些破损或变形,也可以检测出形状。我们将看...

2020-01-08 14:00:13 3509

原创 Opencv-Python学习笔记(十二):模板匹配

本篇博客记录学习OpenCV-Python模板匹配的相关知识。使用模板匹配在一幅图像中查找目标。 学习到的函数有: cv2.matchTemplate(), cv2.minMaxLoc()。原理模板匹配是用来在一副大图中搜寻查找模版图像位置的方法。 OpenCV 为我们提供了函数: cv2.matchTemplate()。如同2D 卷积,它也是用模板图像在输入图像(大图)上滑动,并在...

2019-12-30 13:05:07 1009

原创 Ubuntu16.04.6安装+FTP服务器的搭建

安装准备Ubuntu16.04.6下载 下载地址:Ubuntu各版本下载地址 百度网盘链接(32位):提取码:9ucq 虚拟机的下载与安装:百度网盘链接: 提取码:lh7c 虚拟机的安装再次不再展示。安装好虚拟机之后我们打开VMware Workstation,会看到一下界面。然后点击创建虚拟机,弹出如下界面,我们选择典型。点击下一步,弹出以下界面,我们选择第二...

2019-12-05 13:35:29 2447

原创 Opencv-Python学习笔记(十一):图像直方图

本篇博客记录学习OpenCV-Python图像直方图的相关知识。使用OpenCV和Numpy函数查找直方图,使用Matplotlib函数绘制直方图 您要学习的函数有:cv2.calcHist(),np.histogram()等。原理什么是直方图呢?通过直方图我们可以对整幅图像的灰度分布有一个整体的了解。直方图的 x 轴是灰度值( 0 到 255), y 轴是图片中具有同一个灰度值的点的...

2019-11-10 22:33:46 1573

原创 Opencv-Python学习笔记(十):轮廓特征

本篇文章接上一篇轮廓检测继续学习,本篇主要记录轮廓特征的学习。查找轮廓的不同特征,例如面积,周长,质心,边界框等 将会学到大量与轮廓有关的函数。1.矩图像的矩可以帮助我们计算图像的质心,面积等。函数 cv2.moments() 会将计算得到的矩以一个字典的形式返回。根据这些矩的值,我们可以计算出对象的重心:,。以下图为例:代码如下:# -*- coding: utf-...

2019-11-03 17:39:42 1233

原创 Opencv-Python学习笔记(九):轮廓检测

本篇博客记录学习OpenCV图像处理中的轮廓检测。理解什么是轮廓。 学习找轮廓,绘制轮廓等。 学习以下两个函数:cv2.findContours(),cv2.drawContours(),一、什么是轮廓轮廓可以简单地认为成将连续的点(连着边界)连在一起的曲线,具有相同的颜色或者灰度。轮廓在形状分析和物体的检测和识别中很有用。为了获得更高的准确性,要使用二进制图像。因此,在找到轮廓...

2019-10-27 16:19:42 1233 1

原创 Opencv-Python学习笔记(八):图像金字塔

本篇博客记录学习OpenCV中的图像金字塔。使用图像金字塔创建一个新的水果“ 橘子苹果”。 将要学习的函数为:cv2.pyrUp(),cv2.pyrDown()。一般情况下,我们要处理的是一副具有固定分辨率的图像。但是有些情况下,我们需要对同一图像的不同分辨率的子图像进行处理。比如,我们要在一幅图像中查找某个目标,比如脸,我们不知道目标在图像中的尺寸大小。这种情况下,我们需要创建一组具有不...

2019-10-24 12:04:27 394 5

原创 Opencv-Python学习笔记(七):边缘检测

本片文章记录学习边缘检测的运用。了解坎尼边缘检测的概念 学习函数:cv2.Canny()。Canny 边缘检测使用高斯滤波器,以平滑图像,滤除噪声。 由于边缘检测很容易受到噪声影响,所以第一步是使用 5x5 的高斯滤波器去除噪声。计算图像中每个像素点的梯度强度和方向。 对平滑后的图像使用 Sobel 算子计算水平方向和竖直方向的一阶导数(图像梯度)( G...

2019-10-20 09:25:23 717 1

原创 Opencv-Python学习笔记(六):图像梯度计算

本篇记录学习图像梯度的计算。 查找图像渐变,边缘等 将学习以下函数:cv2.Sobel(),cv2.Scharr(),cv2.Laplacian()等原理:梯度简单来说就是求导。OpenCV 提供了三种不同的梯度滤波器,或者说高通滤波器: Sobel,Scharr 和 Laplacian。Sobel, Scharr 其实就是求一阶或二阶导数。 Scharr 是对 Sobel(使用小的卷...

2019-10-13 17:55:06 3918 2

原创 Opencv-Python学习笔记(五):图像形态学操作---腐蚀、膨胀、开/闭运算、梯度、礼帽与黑帽

本篇记录学习不同的形态学操作,例如侵蚀,膨胀,开/闭运算,梯度、礼帽与黑帽。 学习以下不同的函数,例如:cv2.erode(),cv2.dilate(),cv2.morphologyEx()等。我们先来接上一篇文章的一个小尾巴,介绍一种图像滤波方式:边缘保留滤波(EPF)常用的两种处理方法:高斯双边滤波 均值迁移滤波函数 cv2.bilateralFilter() 能在保持边界清晰...

2019-10-07 10:47:39 1481 1

原创 Opencv-Python学习笔记(四):图像阈值、平滑、滤波

本篇记录学学习简单阈值,自适应阈值,Otsu’s 二值化,图像的平滑、滤波等。 将学习以下函数:cv2.threshold,cv2.adaptiveThreshold,cv2.filter2D()等。图像阈值与名字一样,这种方法非常简单。但像素值高于阈值时,我们给这个像素赋予一个新值(可能是白色),否则我们给它赋予另外一种颜色(也许是黑色)。这个函数就是 cv2.threshhold(sr...

2019-10-06 21:31:52 3011

原创 Opencv-Python学习笔记(三):像素运算、ROI、泛洪填充

本篇记录学习图像的几种算术运算,例如加、乘、除,按位运算等。 将学习以下函数:cv2.add(),cv2.addWeighted(),等。 ROI(Region of Interest)感兴趣区域,泛洪填充,边界填充。对图像像素级别的加减乘除import cv2 as cvimport numpy as npdef add_demo(m1, m2): dst = cv....

2019-10-06 18:48:09 882

原创 Opencv-Python学习笔记(二):色彩空间转换

本篇文章记录学习如何将图像从一种颜色空间转换为另一种颜色空间,例如BGR<–>Gray,BGR <–>HSV,BGR<–>Yuv,BGR<–>Ycrcb等。创建一个应用程序,从一幅图像中获取某个特定颜色的物体。学习以下函数:cv2.cvtColor(),**cv2.inRange()**等。更改色彩空间OpenCV提供了150多种颜色空间...

2019-10-05 22:17:32 521

原创 Opencv-Python学习笔记(一):图像读取、显示、保存

我的博客主要以官网Opencv-Python教程和贾志刚老师的课程进行的学习整理。 我用的是环境是python3.7+opencv4.1.1+pycharm2019.2.3。 今天先学习如何读取图像,如何显示图像以及如何将其保存回 今天将学习以下几个函数:cv2.imread(),cv2.imshow(),cv2.imwrite() 如何使用Matplotlib显示图像...

2019-10-05 20:45:52 1386 2

原创 Pycharm2019.2.3专业版配置

1.首先呢,我们先去官网下载该版本软件:Pycharm2019.2.3 详细的安装步骤不再赘述。2.下载补丁jetbrains-agent.jar链接:https://pan.baidu.com/s/1Wa7foLi0QjOfU-P1BCyrdA 提取码:w2e2具体操作:https://www.cnblogs.com/RyanLea/p/11405045.ht...

2019-10-05 17:11:46 5604 5

原创 计算机三级嵌入式综合题解密+部分总结

2019年9月21日计算机三级嵌入式考试即要开战!都要加油呀!!今天这篇文章主要指针对计算机三级嵌入式综合题的解析,对于没有单片机基础的同学来说配置寄存器有些困难,所以今天我就给大家分享一下我的经验,希望这篇文章可以帮助到大家,如有错误欢迎指正!!首先来分析矩阵键盘:看下面的分析之前可以先看一下这篇文章:计算机三级嵌入式系统考试之矩阵键盘以第三套试题为例:按键原理图如下图所示...

2019-09-15 13:53:08 8720 17

原创 MSP432E401Y学习笔记(一)

因参加2019年全国电子设计大赛,申请到一块MSP432E401Y开发板,今天开始学习如何使用,因为资料较少,自己也是摸索了很久才搭建好环境,今天就和大家分享一下,也记录一下自己的学习过程。首先我们需要准备这套开发板的开发套件,开发套件官网地址:http://www.ti.com/tool/download/SIMPLELINK-MSP432E4-SDK 下载完成后,直接安装。安装完成...

2019-07-03 13:14:55 14520 17

原创 C语言——文件操作(读取文件保存到结构体)

之前写过一篇文章实现了文件操作的任意读写,今天来实现文件操作,读取txt文件,将数据保存到结构体中。C语言——文件操作(实现带空格字符串任意读写)先介绍C语言中一个功能强大的函数——sscanf(),它是C语言中从一个字符串中读进与指定格式相符的数据的函数。函数原型:int sscanf( string str, string fmt, mixed var1, mixed var2 ...

2019-03-09 16:25:02 23650 12

原创 C语言——文件操作(实现带空格字符串任意读写)

本文主要是记录自己在实现带有空格字符串任意读写时所遇到的问题与解决方法。字符串形式为“G1 X500 Y600 F1200”,这是Cura软件生成的打印程序代码格式。我们先了解一下文件操作都有那些函数:文件的读写操作:fgetc ()从文件中读取一个字符函数原型:int fgetc(FILE *fp);功能说明 :从fp所指文件中读取一个字符。参数说明 :fp文件指针,它指向...

2019-03-03 11:29:21 16625 1

转载 计算机三级嵌入式系统易错题总结

版权声明:本文为博主原创文章,如需转载,请注明出处 https://blog.csdn.net/qq_36554582/article/details/82658761 &amp;lt;/div&amp;gt; &amp;lt;div id=&quot;content_view...

2019-02-25 20:30:01 4162

转载 计算机三级嵌入式系统考试之矩阵键盘

版权声明:本文为博主原创文章,如需转载,请注明出处 https://blog.csdn.net/qq_36554582/article/details/81328093 &amp;lt;/div&amp;gt; &amp;lt;div id=&quot;content_view...

2019-02-25 20:29:49 1556 3

转载 计算机三级嵌入式学习笔记九

版权声明:本文为博主原创文章,如需转载,请注明出处 https://blog.csdn.net/qq_36554582/article/details/81318618 &amp;lt;/div&amp;gt; &amp;lt;div id=&quot;content_view...

2019-02-25 20:27:59 541

转载 计算机三级嵌入式学习笔记八

版权声明:本文为博主原创文章,如需转载,请注明出处 https://blog.csdn.net/qq_36554582/article/details/81317488 &lt;/div&gt; &lt;div id="content_view...

2019-02-25 19:48:59 419

转载 计算机三级嵌入式学习笔记七

版权声明:本文为博主原创文章,如需转载,请注明出处 https://blog.csdn.net/qq_36554582/article/details/81316905 &lt;/div&gt; &lt;div id="content_view...

2019-02-25 19:48:01 652

转载 计算机三级嵌入式学习笔记六

版权声明:本文为博主原创文章,如需转载,请注明出处 https://blog.csdn.net/qq_36554582/article/details/81297283 &lt;/div&gt; &lt;div id="content_view...

2019-02-25 19:47:01 343

转载 计算机三级嵌入式学习笔记五

版权声明:本文为博主原创文章,如需转载,请注明出处 https://blog.csdn.net/qq_36554582/article/details/81279535 &lt;/div&gt; &lt;div id="content_view...

2019-02-25 19:42:55 282

LabVIEW2017RGT

Labview2017(32)位,可做excel、word等报表。本资源为一个TXT文件,里面是RGT的百度网盘连接。

2020-04-06

LabVIEW视觉开发VDM2018工具包

NI Vision Development Module(VDM) 视觉开发包,这个是NI视觉所有的图像处理函数库,此工具包适配于LabVIEW 2015, 2016, 2017, 2018.

2020-04-02

基于MFC的颜色、字体对话框源码

本资源是基于VS2017开发平台编写的基于MFC工程的颜色、字体对画框,对基础语法的了解与运用,适合新手学习借鉴。

2020-03-27

NI-DAQmx 18.1.0 for labvew2015-2018

NI-DAQmx 18.1.0 for labvew2015-2018,官方安装包,适合LabVIEW版本为2015-2018,LabVIEW2017亲测有效。 本资源为TXT文档,里面是百度网盘提取链接和提取码》

2020-03-27

Labview宝典及配套700个示例程序

资源包括《labview宝典》这本电子书PDF,以及配套的700个示例程序,以供大家学习。

2019-03-03

双轮平衡车资料

双轮平衡车的详细资料,文献以及视频讲解,还有关于STM32的学习资料等。

2019-01-22

Labview2017 ASPT

LabVIEW高级信号处理工具箱(ASPT),用于高级信号的处理。本资源为TXT文件,里面是其百度网盘链接。

2019-01-22

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除