自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(28)
  • 资源 (8)
  • 问答 (1)
  • 收藏
  • 关注

原创 从零开始摄像头驱动设计(一)_I2C框架及设备树插件应用

像我这样很多学习驱动的同学都会想一个问题:学了这个能干嘛?学了那个能干嘛?姑且找找网络上开源的项目,找找,看看,还是一脸懵。因为开源只提供源码和大致介绍下做什么和有什么。而面对于基础开发者的博客还是很少的。甚至有的博主只是放一些定义,丢几个结构体的注释就完结。我曾经就是通过查缺补漏才形成了一个完整的项目框架。借此,我打算撰写一套驱动的开发流程,从硬件调试到驱动框架搭建,到系统调用验证。因为AI的盛行,自动驾驶,人脸识别等应用都成了市场的领航者。

2024-03-31 09:52:27 810

原创 高速电路设计、完整性设计推荐书籍

SI信号完整性相关书籍国内作者出的比较少,国内大多是翻译国外著作或者是直接抄袭,像于博士这本《于博士SI设计手记》在网上的口碑还是不错的,虽然其核心技术理论依然还是来至国外大牛们的著作或者论文,但于博士通过自己的实际演算,深入浅出的将各种理论概念解释的很清楚很透彻,中间还穿插着于博士自己在实际项目中对SI信号完整性实际应用的理解。信号传输如今是越来越高速,伴随着的将是更多的信号完整性的问题。这是最新的一版,技术书籍就需要看最新的,因为会有很多的技术更新和增加,时间越近的版本技术更准确,也更全面。

2024-03-28 08:48:11 832

原创 Allegro之轻松绕等长

高速PCB设计避免不了的要绕等长,然而有时候一个单板需要绕等长的总线很多,一个一个的绕下去,除了浪费时间,还最多的是消耗自己。

2024-03-26 11:45:43 270

原创 Cadence之创建自己的titleblock

创建自己的Titile Block ,让设计更美

2024-03-15 08:21:29 377

原创 allegro之坚固的半孔制作

随着芯片不断的更新迭代,一浪拍死一浪。做硬件的特别头疼,核心电路或者可复用电路因为某一个板卡需要重新设计整板。很多都是做的无用功,为了更加高效的完成工作,然后摸鱼。硬件界的人才们,找到了很多方法。最多的就是应用在核心板上,比如板对板连接器、金手指等等,而还有一种也是用的最多的方式–半孔。半孔因为其成本低、不需要另选元器件以及占用空间小等优势,被设计小模块的工程师所青睐。小编近期也关注到了这一块,因为某国的各种限制,导致了主平台的不在生产,由于之前的产品都是嵌入式设计方式,几十种量产产品只能重新布板设计。

2024-03-12 09:50:05 588

原创 ALLegro之单独设置PIN脚与覆铜连接方式

​ 设计PCB时,有很多时候在总电源输入处需要将一部分pin脚设置为全连接,给大电流拓宽通道。然而如果往常针对同一覆铜下的同属性pin脚只能全部设置为全连接或者其他。所以,在初学者手上也出现了“投机份子”,先给全部覆铜改成统一的常规模式,比如十字连接,然后转换成静态铜皮,再将需要全连接的pin区域的覆铜挖空,再填补铜皮设置全连接后,转换为静态铜皮,然后和之前的覆铜融合一体。很是繁杂,而且后期改版很难维护。

2024-03-08 11:34:27 366

原创 设备树插件_configfs学习笔记

在kernel目录下的Documentation/filesystems/configfs有官方说明文档configfs.txt。configfs是与sysfs功能相反的基于ram的文件系统。sysfs是基于文件系统查看内核对象,configfs是基于文件系统管理内核对象或配置项对于sysfs,一个对象是在内核中创建和删除的。内核控制着sysfs表示的生命周期,和sysfs只是一个窗口而已。而configfs配置项表示的生命周期完全由用户空间驱动,内核模块支持这样项目的必须作出响应。

2023-11-07 15:39:17 515

原创 华秋DFM从2.1.6升级到3.x版本出现的问题

以前一直使用CAM350检查最终的gerber,后来无意中接触到了华秋DFM,使用起来简单许多,将可制造性排查的相当完整。但是前不久因为一块板卡刚出炉,需要检查DFM,于是开启了华秋,刚打开,说可以更新,还有许多新增功能,那当然是妥妥的更新了。想着应该是板卡太大原因,就等。更新后,满怀期待的打开,像往常一样的导入gerber,然后点上“一键DFM分析”按钮。根据弹窗选择IPC文件,点击“分析”,结果。于是乎,就将软件关了重来,这里还必须杀掉进程,点暂停按钮会一直卡在那。也就是必须要保存为dfm文件先。

2023-09-28 15:58:31 277

原创 三十而立学FPGA之按键消抖

按键为何消抖,原因是当按键按下和松开时,由于弹片回弹抖动,而导致按键对应管脚误判为多次按下和松开。从以下动图对比可以看出按键消抖.avi。

2022-07-23 04:39:31 238

原创 三十而立学FPGA之数码管

数码管,一种把多个发光二极管通过简单阵列的方式组合而成的显示器件。多个二极管阴极连在一起,通过控制阳极的高低电平来控制数码管相应LED亮灭的叫做共阴,反之共阳。每个发光二极管称之为数码管的段,连在一起的阴极或阳极称之为位。十进制输入十进制转BCD模块译码模块数码管扫描模块数码管模块实现1. 十进制转BCD模块十进制(二进制)转BCD通常使用方式是移位加三的算法。具体方式如下表示(以123即8‘b01111011为例):2. 译码模块以下代码以共阳极数码管为例3. 数码管扫描模块扫描计时扫描

2022-06-12 17:29:03 180 1

原创 三十而立学FPGA之UART

三十而立学FPGA之UARTUART介绍简介​ 通用异步收发器(Universal Asynchronous Receiver/Transmitter),既UART时序[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-evCiHWp6-1653002427921)(uart.png)]根据时序图可以了解到:1. uart在空闲的时候是高电平2. 当突变为低电平或者有一个下降沿,则是告知接收方uart要传数据了3. 这里实现为8bit数据传输,当数据传输完成,在1

2022-05-20 07:24:01 331

原创 FPGA之ROM编程,testbench仿真后出现输出没有值的情况

在做ROM初次编程时,使用IP核,编辑了testbench后,仿真出现Q没有值得情况。最终查找发现情况。在创建IP核的时候,在最后一项中勾选了Allow In-System Memory Content…………并且设置了ID。这一项,只有在逻辑分析仪的时候,必须要勾选,否则,在In-System Memory Content Editor中无法对找到ROM,导致无法更改ROM值。...

2021-04-26 00:55:00 1296

原创 Allegro做中文丝印竟如此简单

所需软件AutoCAD(或者其他CAD软件)AbleSoftwareR2VAllegroword操作步骤新建一个Word,输入自己想做的中文丝印(字体和其大小请参照下图提供的,这是我研究了之后得出的最好的)截图,另存为bmp图片格式用AbleSoftwareR2V软件打开保存的图片矢量化图片(一定要在文字上先用鼠标拖拽一个选区)得出下图所示为了保证丝印的完整和...

2019-10-28 10:28:58 4626

原创 好书推荐-《Cadence高速电路设计:Allegro Sigrity SI-PI-EMI设计指南》高清标签

高速电路设计已是这个时代的现状。信号完整性,电源完整性以及电磁兼容将是layout工程师的必备知识。而Cadence的组件Allegro Sigrity将是layout工程师最大的助力之一

2024-03-21 08:59:35 453

原创 I2C简单实验之LT6911UXC读取ChipID

i2c的读写最关键的就是设备地址了,驱动的框架是固定的。学习就应该在怀疑中调试,在调试中比较,在比较中得到答案。我们都是站在巨人的肩膀上的,当自己出现问题时,最好是看看巨人都是怎么做的。原文链接。

2024-03-18 10:34:07 603

原创 20230412-使用STM32实现内部flash模拟U盘

​ 工程师干了几年后,基本会有小外包的生活,算是赚外快吧,搞小钱改善伙食,嘻嘻。。。。​ 最近有个客户找到我,说是否通过ST的单片机搞个U盘功能,有些文件通过U盘拖拽放入的方式比较方便。当时就有点懵了,这从来没这么用过,后来查查资料,还真网上有这么玩的,所以就记录下自己的实现过程​ 既然要搞,一般过程都是网上找个demo,看懂了然后自己改改,我也是这么干的。。。。

2023-04-13 11:39:22 1797

原创 RK628底层调试,使用V4L2调试工具抓图

RK628底层调试,使用V4L2调试工具抓图

2023-02-08 10:12:54 1517

原创 BGA锡球选择与PITCH的关系

参考IPC-SM-782A,其中有一张表格表示的很详细,这里记录一下

2021-08-04 11:08:00 3589

原创 使用Model integrity 选择一个IOCell查看view curve报错

原因分析:此IBIS模型所在目录路径有中文文件名,导致错误,路径必须都是英文并且不能有空格。

2021-04-21 14:25:00 312

原创 FPGA学习之串口发送程序设计(来自小梅哥的教程学习者)

1. UART说明可参考维基百科的文档:https://zh.wikipedia.org/wiki/UART2. 实现逻辑图示3. 程序实现module UART_TX(rst_n,clk,send_en,baud_set,data_in,data_tx,flag,state); input rst_n; input clk; input send_en; inp...

2021-04-20 17:26:00 807

原创 高速PCB布线

信号线布线基本准则合理选择层数减少高速元器件引脚间引线的弯折缩短高频电路元器件引脚间的引线减少引线层间交替对重要信号和局部单元实施包地措施所有信号布线不能形成环路,也不能形成电流环路每个集成块附近设置一个高频去耦电容底线设计单点接地和多点接地的选择数模分开尽量加粗地线接地线构成闭环布线后信号完整性仿真意义一般根据规则很难保住信号完整性。 元器件模型和PCB制造参数的精确性是决定仿真结果的主要因素...

2019-10-15 12:44:00 82

转载 ./configure && make && make install详解

在Linux中利用源码包安装软件最重要的就是要仔细阅读安装包当中的READMEINSTALL两个说明文件,这两个文件会清楚的告诉你如何可以正确的完成这个软件的安装!我们都知道源码包安装分为这么几个阶段,1、./configure:“configure”会在你的系统上测试存在的特性Make:编译程序。5、cd:进入到这个源码包。现在ls一下m...

2019-05-31 15:15:41 1813

转载 allegro 大鼠标模式下的拖影问题

一般情况下 , 我们在安装了 Cadence 软件之后 , 都会碰到在大鼠标情况下的拖影问题 , 这往往是由于显卡的兼容性不够造成的 , 通常的解决办法是关闭 Opengl, 如果我们关闭 OPENGL 功能 , 可以使大鼠标不出现拖影 , 但是在关闭了 opengl 的情况下面 , 我们的 3D 和 PCB Flip 功能也无法正常使用 , 所以会给我们造成很多不必要的麻烦 !鼠标拖影问题见...

2019-05-23 15:42:42 2400

原创 Python之Excel使用实现BOM表自动生成工具

工具背景由于工作过程的繁杂性,工作内容的复杂性。急需一个工具来自动的完成重复的工作。作为硬件工程师,应该都知道,每个作品完成后,在加工之前必须导出BOM表,然后整理出来可以上传到ERP或者符合公司的公用模板。因此导致了需要多次的复制黏贴过程。公司模板或者ERP内置模板一般都是固定的,所以我针对本人所在公司设计了这样一个基于Python语言的BOM表自动生成工具。所需模块imp...

2019-05-16 11:47:02 2943 1

转载 你还在纠结器件丝印放错的烦恼么?

几乎很长一段时间我都在纠结一个问题:“器件丝印怎么又放错了?”。而且我们公司有自己的SMT厂,也是几乎每一块我画的板子发到厂里后都会收到电话说什么丝印放反了。我就在想啊,一块板子那么多元器件,你叫我如何都能手工摆放完全正确?所以啊,思来想去,如果有一个方法可以将所以这些丝印重置到元器件中心或者其他不容易出错的位置就好了。苍天不负有心人,还终于被我找到了。  先给大家链接:http://pan.

2018-01-24 12:11:02 657

转载 PCB层数的确定

PCB板的层数一般不会事先确定好,会由工程师综合板子情况给出规划,总层数由信号层数加上电源地的层数构成。一、电源、地层数的规划电源的层数主要由电源的种类数目、分布情况、载流能力、单板的性能指标以及单板的成本决定。电源平面的设置需要满足两个条件:电源互不交错;避免相邻层重要信号跨分割。地的层数设置则需要注意以下几点:主要器件面对应的第二层要有比较完整的地平面;高速、高频、时钟

2016-12-22 17:00:34 3678

原创 CAM350检查geber的重要说明(为你的PCB多一层保障)

对于很多PCB设计软件而言总会有一些这样那样的问题.比如,操作不当或者一时疏忽就会导致最终的制板出现致命的问题。作为硬件工程师或者精确点PCB Layout工程师都知道,每次制板都是需要很多毛爷爷的,特别是板层越多就越多毛爷爷。硬件工程师或许都知道,当我们每次PCB设计完成后将会出生产资料(BOM,COM,GEBER),其他不说,这里最主要的就是geber了,一旦它出错了,那么就不要谈后面的事...

2016-12-22 10:16:30 8365 7

原创 关于开关电源PCB设计中存在的问题

最近画了一块板子,我是一个初学者。每次画完PCB都会交给我们公司经验丰富的硬件工程师看,因此我也等到了很多的专业性和经验性的见解和建议,从而使我这个毕业了才不到一年的硬件见习生等到了很大的提升。 废话不多说,说说这次的板子吧。 这次的板子也就四层板,不要以为四层板很简单,相对而言,板层越少越难画,因为越少的层,考虑的信号完整性的问题以及EMC就越多。 当我...

2016-12-19 15:51:02 588

于博士信号完整性相关资料

于博士信号完整性相关资料

2023-02-03

v4l2学习资料整理合集

内容包括: 1. V4L2 spec 中文 v0.625.pdf 2. v4l2-api-document.pdf 3. v4l2编程经典_.pdf 4. V4L2驱动编写指南.pdf 5. V4L2驱动程序架构.pdf

2023-02-01

Cadence高速电路设计Allegro_Sigrity_SI_PI_EMI光盘资料

Cadence高速电路设计Allegro_Sigrity_SI_PI_EMI光盘资料

2021-04-29

EDID标准详解.pdf

EDID标准详解.pdf

2021-03-19

CAM350宏.zip

基本包括所有的宏,不要错过哦 CAM350自增强宏程集 自动封边宏 最好做GDD的宏及方法 焊盘标注04-11-12 自动加边框 自动加角线 自动加尾孔

2020-11-24

C语言三剑客

学习C语言,有了这三本就够了。可以在kindle等主流软件上阅读哦

2018-12-25

hyperlynx仿真书籍 kindle

本书以HyperLynx 9.0软件为基础,以具体的电路为范例,系统讲述了信号完整性和电源完整性仿真分析的全过程。本书不仅介绍了信号和电源完整性设计的基础知识,也详细介绍了HyperLynx 9.0软件的功能和使用流程。为了使读者对高速电路设计有更清晰的认识,本书还以理论与实践相结合的方式,对HDMI、PCI-E、DDR等设计电路布线前、后的仿真进行了详细介绍

2018-11-21

allegro解决大鼠标拖影问题

一般情况下 , 我们在安装了 Cadence 软件之后 , 都会碰到在大鼠标情况下的拖影问题 , 这往往是由于显卡的兼容性不够造成的 , 通常的解决办法是关闭 Opengl, 如果我们关闭 OPENGL 功能 , 可以使大鼠标不出现拖影 , 但是在关闭了 opengl 的情况下面 , 我们的 3D 和 PCB Flip 功能也无法正常使用 , 所以会给我们造成很多不必要的麻烦 !

2018-11-13

嵌入式系统可靠性设计技术及案例解析

《嵌入式系统可靠性设计技术及案例解析》介绍了嵌入式系统设计中,哪些地方最可能带来可靠性隐患,以及从设计上如何进行预防。内容包括:启动过程和稳态工作中的应力状态差别等可靠性基础知识及方法;降额参数和降额因子的选择方法;风扇和散热片的定量化计算选型和测试方法、结构和电路的热设计规范;PCB板布线布局、系统结构的电磁兼容措施;电子产品制造过程中的失效因素(包括EOS、ESD、MSD等)及预防、检验方法;可维修性设计规范、可用性设计规范、安全性设计规范、接口软件可靠性设计规范等方面的技术内容。同时,针对相关内容进行实际的案例分析,以使读者更好地掌握这些知识。

2018-01-24

Cadence印刷电路板设计 Allegro PCB Editor设计指南 (2)

基于Cadence Allegro*新的设计平台,通过设计行业相关专家的经验分享、实例剖析,详细介绍了整个印刷电路设计的各个环节,以期对提高整个行业的设计水平有所帮助。 本书*大的特点是介绍了Cadence Allegro平台下PcB设计所有的工具,既对基本的PcB设计工具进行了介绍,也介绍了*新的工具,例如,全局布线环境(GRE)、射频设计、团队协同设计等。本书也介绍了Cadence*新的设计方法,例如,任意角度布线和针对*新的Intel的Romely平台下BGA弧形布线的支持,以及*新的埋阻、埋容技术。本书适合从事PCB设计的工程师参考学习。

2018-01-24

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除