自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(35)
  • 资源 (3)
  • 收藏
  • 关注

原创 STM32 Uart中断发送打印乱码问题记录

注意,如下的_wirte和_read 也调用了前面的PUTCHAR_PROTOTYPE和GETCHAR_PROTOTYPE。/***********等待上一次发送完成************/

2024-01-12 14:49:07 984

原创 在指定的 DSN 中,驱动程序和应用程序之间的体系结构不匹配

cadence CIS配置ERROR(ORCIS-6245): Database Operation Failed,在指定的 DSN 中,驱动程序和应用程序之间的体系结构不匹配

2023-08-10 11:13:39 2017

原创 从EXCEL BOM 描述中提取部分信息---正则表达式使用

在excel中使用正则表达式

2023-04-18 18:00:42 663

原创 orcad library builder 建库及报错问题

orcad library builder 建库及报错问题

2023-04-10 18:18:52 1496 1

转载 [转载]超详细:SerDes知识详解

Serdes 介绍

2023-04-07 16:28:49 3827

原创 Display Port 1.4 link Training 过程

DP link traing 及DP问题的一点总结

2023-03-31 18:20:48 6759 5

原创 由I2C高电平不到VCC,再思考时序要求

I2C 高电平不到VCC

2023-03-30 17:53:03 433

原创 ADS仿真,3db均衡器是否可以补偿3db插入损耗?

是否3db的预加重就可以补偿3db的插入损耗?

2023-02-17 18:53:10 1463 2

原创 Python 在列表中插入列表组成新的一维列表

Python 在列表中插入列表组成新的一维列表

2023-01-01 15:48:07 1241

原创 ADS差分传输线前仿真

主要以ADS自带4port S参数模板说明如何快速预估实际项目中差分信号的插损和阻抗,重点在渔,而非鱼。

2022-09-30 11:07:50 5698

原创 PCB阻抗计算

阻抗线计算的两种方式验证

2022-09-29 17:43:17 2327

原创 Lighttools 闪光灯仿真自建实例

Lighttools 闪光灯仿真自建实例,主要以实际项目为例,说明仿真的整个流程,也可以用作仿真的sop

2022-09-26 12:39:46 3070 3

原创 由I2C SCL Tf 下降太快,再思考RC充放电电路

I2C Tf下降太快,RC充放电回路计算。

2022-07-11 16:07:53 1570 2

原创 lighttools API for Python ,自动化仿真基础

该API就是python调用lighttools仿真的基础。如下只验证环境是否ok,python->发命令给Lighttools,查看lighttools的实际效果。

2022-06-21 15:36:14 1666

原创 lighttools用透镜旋转手动创建菲尼尔透镜

学过很多软件,都没有留下痕迹,很多年之后只记得学过的软件常用的一些功能,稍微难一些的再次用起需要重新学,但如果留下点痕迹,重新再用就会很快。lighttools无意之中接触了,记一点点笔记吧。...

2022-06-21 15:24:28 2223 3

原创 由I2C data信号低电平不到0,再思考I2C及GPIO

最近做项目测试时发现I2C data信号低电平不能完全到0电平,如下图量测到低电平最大值150mV左右,检查SOC及DL端SPEC,低电平最大值都是VIL max =0.35VDD 对于1.8V的IO 电平,这个电压是0.35x1.8=0.63V 显然150mV<<630mV,不影响逻辑判断,因此从项目的角度考虑,此问题并不影响项目,可以忽略。只是做项目的角度考虑,此问题就可以结束了。但要深究原因,那就又得重新抓起……,那就从新抓起吧,反问:为啥会出现不到0的情况?最容易想到的是

2022-05-27 11:59:04 6229 2

原创 建立Excel文件超链接-python 工具

Excel超链接工具

2022-04-26 14:49:52 3592

原创 虚拟机安装cadence 出现不可恢复错误

由于临时工作需要,准备在虚拟机中安装cadence,做一些简单的查图修改等,全部在虚拟机中操作PCB会经常卡死,电脑配置不够的缘故。在VMware Workstation15 player中安装cadence17.2 安装到一半会跳出“VMware 不可恢复错误:(svga)”如下,同时虚拟机卡死退出,重复操作了好几次都会出现该情况。根据log位置查看了log,也无济于事于是根据自己安装软件的一些经验,做了一些尝试,正好碰巧解决了,做个记录;回想安装过程,在cadence 阿..

2022-04-23 14:48:50 2136

原创 简单谈谈面向对象初体验

如下内容是业务python 爱好者的一点面向对象初体验,没有太多干货,就当处于饭后随便看看的个人感受吧!基于之前一个批处理python脚本的,意识到函数式代码不易维护(主要还是自己没有相关的知识和规范,要不然C也没有类,为什么能开发那么大型的操作系统了?)python openpyxl处理批量excel 遇到的几个问题记录_shuiqinghan2012的博客-CSDN博客见如上的总结2查了一些视频和资料,了解到面向对象编程相对更易维护,面向对象三大特点,封装,继承和多态,更易维护就是封装和

2022-03-16 17:03:51 858

原创 Cadence Orcad 原理图Offpage 和Power Net连接注意事项

背景:项目开发过程中做了一般功耗版,功耗版的图纸从项目图纸上修改,只是在要测试电流的位置增加0ohm电阻或者10mohm电阻,方便测试电流,结果在项目贴片后发现不能开机,前期图纸多人绘制,排查不够全面,而且此问题打印成PDF原理图根本看不出问题,后来仔细排查了下原理图,对比之前很多项目图纸,总结了如下经验,做笔记也方便大概参考!offpage和Global Power的连接问题,基本总结出来是这样!1.变量的全局性是:global power>port>offpage>al..

2022-03-16 15:58:33 6350 6

原创 PMOS开关 G极并电容导致上电瞬间开关导通

背景:笔记本键盘背光开发中,出现开机上电,EC配置GPIO之前,键盘背光闪烁两次波形和电路如下,分析:1. 电容上电瞬间交流导通,导致PMOS开启,如下波形(尖峰时电容导通导致),BOM请去掉C3410另外G级前端串联电阻为特殊值,根据实际调整或去掉总结:低电平导通的电路,注意电容上电瞬间会导通出现低电平,对于声音和光电控制尤其要注意。如是其它通信GPIO,此电容感受不到影响,但瞬间的不受控依然存在。...

2022-03-16 11:04:24 2925

原创 python openpyxl处理批量excel 遇到的几个问题记录

背景:工作中遇到测试时,每台机器都会到处数据,然后根据导出数据做一些处理判断机器性能,这些数据处理只是简单的计算百度比,都是重复工作,且每次要打开关闭很费时间,通过python是否可以?了解了下openpyxl模块,答案是肯定的!然后一面查,一面试,勉强实现了功能!如下遇到的几个问题,做一个简单的总结后续有时间将openpyxl常用的功能做个例程程序,后面类似功能直接复制(因为不常会写程序,等下一次用的时候完全忘得干干净净,又得重新查),业余业余的python 爱好者思路:从指定路径或者当前..

2022-01-28 18:33:20 2146

原创 python Building wheel for future (setup.py) ... error

pip install pyinstaller 时报如下错误:Collecting future Using cached future-0.18.2.tar.gz (829 kB) Preparing metadata (setup.py) ... doneBuilding wheels for collected packages: future Building wheel for future (setup.py) ... error ERROR: Command errored...

2021-11-27 21:42:25 10933 3

转载 【转】PCB设计之“载流能力”

本文主要介绍PCB设计中走线和过孔的载流能力。走线的载流能力决定电流承载能力的因素主要有:铜箔厚度、走线宽度、温升、镀通孔孔径。但由于电流分布不是均匀的,因此很难精确计算。常用的公式:K为修正系数,一般覆铜线在内层时取0.024,在外层时取0.048;T为最大温升,单位为摄氏度(铜的熔点为1060);A为覆铜截面积,单位为平方mil(注意是squaremil);I为容许的最大电流,单位为安培。1oz=31.1g=1.44mil=0.0356mm盎司是重...

2021-11-10 10:34:08 6899

原创 这些年搭过的电路

因为最近工作变更的原因,很想写一点之前完成线路的一些思路,一直拖到现在,但自己想想,线路也只有在特定的场合才能用到,要说明整个应用场景也比较难;先随便丢几个图,待后面有时间慢慢说明其特定产生的场景;1.SW 和RS232(+-15V)兼容到同一个pin上, BJT 开关实现2.USB SW 实现两个USB口切换,方便Debug3.又一处USB SW的应用,增加电源控制4.buffer的另一处应用 notebook其它很多模拟和SW相关的暂时保留...

2021-06-08 09:56:22 120

原创 Cadence Orcad 输出带属性及页码书签的PDF原理图

带页码书签和器件属性的原理图方便查看翻页,也方便追踪原设计器件,在不直接发给对方DSN原理图,但对方又需要这些信息时需要输出带书签页码和器件属性的原理图;一般情况直接输出不带书签的PDF原理图就可以,用PDF虚拟打印机打印即可。带页码书签的PDF最终效果如下:页码书签方便直接跳转到指定页,可DSN效果差不多;器件上左键能看到器件属性如下演示使用orcad17.2+ghostscript64完成。原理图上所有的文字都可以直接复制(但图形是位图,放大后能看到锯齿,PDF虚拟打印后是矢量图

2020-12-17 10:06:29 2857 2

原创 Python 日志模块学习-logging模块使用

一.为什么需要使用日志Logging模块,而不用print函数直接输出调试信息?1.如用print函数输出日志信息,调试结束后需要一个个去注释删除,工作量很大,很容易出错2.分不清哪些是调试输出,哪些是程序输出,清理日志输出容易搞错程序结构日志消息的好处在于,你可以随心所欲地在程序中想加多少就加多少,稍后只要加入一次logging.disable(logging.CRITICAL)调用,就可以禁止日志。二.如何使用日志模块,输出到屏幕,输出到文件输出到文件logging.b.

2020-11-10 14:55:50 610

原创 三极管检测

实际在项目中使用PNP三极管开关很少出现坏的情况,但还是出现过2次,这一次详细记录一下坏的状态!如下的基本电路:不正常导致导通,开关失效如下三极管测量时的等效电路实际使用的三极管内部集成了电阻,作为开关管使用,因此实际测试电阻很大,正常的BE之间串入电阻为94K,datasheet。实际测试如下:13,23之间电阻11.M左右。因损坏的管子B

2017-06-06 10:19:25 700

转载 Line in和Mic in的区别及使用

http://blog.163.com/why.ann_2001/blog/static/331376200821391621467/  我们的电脑声卡上,一般都会有Line in和Mic in两个接口,翻译成中文就是“线性输入”和“麦克风输入”,这两个都是输入端口,但是还是有区别的:1、Line in端口:该端口主要用于连接电吉他、电子

2017-04-19 10:44:43 1439

原创 PCB 走线检查要点

步骤 Item 评审内容 评审内容细则电源 1 VSYS到各个模块走线宽度是否能达到电流要求走线宽度;过孔数目;换层;隔离重要信号线 17 MIPI 差分线;等长;全方位包地18 USB差分线;90欧姆阻抗线;包地19 音频MIC;RCV;PHR|L;SPK;FM LineIn20 RFIQ;50欧姆阻抗线21 ClockTC-VCXO 1,

2017-04-12 15:16:23 1243

原创 时钟电路-负载电容和电阻计算

1.时钟分类1.1. 逻辑电路主时钟     手机电路一般为VC-TCXOIC内部通过PLL倍频,使得输出信号的频率为主时钟的整数倍1.2 实时时钟RTC    一般为32.768Khza.保持手机中时间的准确性和连续性,确保在关机时依旧可以计时。b.在待机状态下,可以作为一些逻辑电路的临时时钟(使用频率更低的RTC代替主时钟),降低休眠时的动态功耗。主:TTL电路为电

2017-04-04 08:49:07 10741 3

原创 DYI直流电子负载

1.恒流源原理http://www.eepw.com.cn/article/256733_2.htm2.直流电子负载设计3.仿真设计与实际测量

2017-03-28 16:10:30 5801 1

原创 以太网PHY直接连接

不使用网变,而直接使用电容耦合以减少BOM cost和PCB布局面积,在以太网连接(PHYs)是一种常用的做法。最常用的一种做法参见下图:当接收端内部还有偏置电压是可使用如下的方式连接。当接收端内部没有偏置电压时可采用以下这种连接方式,也是最常用的连接方式:通常偏置上拉电阻为50ohm,传输电流按20mA计算。总结:1.以太网PHY连

2017-03-21 19:09:36 16139 3

原创 美标耳机和国标耳机硬件兼容设计-两线交换的硬件连接

美标耳机四极接法:从最前头开始数1234,左/右声道/地线/麦系统。国标耳机四极接法:从最前头开始数1234,左/右声道/麦系统/地线。它们不同之处,就是地线和麦系统(MIC)两个接触点进行了前后互换。大部份而言,美标的中间三节隔离绝缘圈,一般是都是白色的。而国标为了有所分别,一般是都是黑色的,大部份可通过此方法来识别。如果您错用了不同标准的耳机,就会出现听歌时,可能只听见其中一边或是伴奏

2017-03-13 19:11:21 7737

原创 关于一个NMOS+PMOS开关电路失效的分析

MOS为电压控制电流的模式,BJT(三极管)为电流控制电流,因此MOS相比于BJT更常用一些。最近一个项目用到了PMOS+NMOS作为开机信号,开机要求为高电平开机,最小电压为1.8V,耐压可达17V,为使可靠,选择5V左右(14V4通过100K和56K分压,设计者是这么想的)。但生产时发现此开机按键并不起作用,表现为按下开机按键和不按开机按键都是不开机。说明:此处开机按键为拨动开关,按下

2017-03-08 18:02:12 10748

DisplayPort Intel FPGA IP User guide

Intel FPGA DP IP用户手册,具体连接参考如下,如不能访问,可下载我上传的 https://www.intel.com/content/www/us/en/docs/programmable/683273/22-1-20-0-1/displayport-link-training-flow.html

2023-03-31

excel 超链接+Python实现工具

一个exe小工具,可以生成指定目录下文件的excel超链接公式,以txt文件输出,内容粘贴到excel中就是Excel超链接,方便快速访问

2022-04-26

硬件BOM比较工具-BOM对比【python exe】.zip

附件包含exe及使用说明,实现两个相同标题的BOM对比,关键词和BOM名称写入Txt文件后允许exe

2022-01-14

以太网电容连接不需要变压器

附件描述了以太网PHY连接时,不使用变压器,而使用电容直接耦合时各种方式及其参数计算

2017-03-22

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除