自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

星米豪乐园

我是一个码农啦啦啦拉拉~~~

  • 博客(125)
  • 资源 (8)
  • 收藏
  • 关注

转载 QT打包应用程序文件步骤QT应用程序(.exe)

对应于上面所用的编译器,我们这里用的打包软件为:Qt 5.9 for Desktop (MinGW 5.3.0 32 bit)接着使用QT工具windeployqt,指令为:windeployqt MySerialPort.exe,回车。使用打包软件,需要用release版本编译,使用debug编译需要自己添加.dll文件,太麻烦了。然后cd到所在路径,指令为:C:\Users\Colin\Desktop\EXE,回车。点开“构建步骤”->“qmake”项中的“详情”,选择“release”.

2023-11-19 13:15:50 466

转载 stm32(HAL库)控制TDC-GP22激光测距

stm32 tdc gp22

2023-08-03 23:37:25 900

转载 如何在 Ubuntu 22.04 LTS 上安装 GitHub 桌面

原创文章,作者:校长,如若转载,请注明出处:https://www.yundongfang.com/Yun224613.html

2023-07-29 23:56:00 1029

转载 ubuntu22.04安装jupyter

原文链接:https://blog.csdn.net/weixin_44935703/article/details/130841180。原文链接:https://blog.csdn.net/weixin_44935703/article/details/130841180。版权声明:本文为CSDN博主「单项开始闪烁」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。3、更新pip 并安装虚拟环境包。3、安装virtualenv。2、安装Python3。

2023-07-29 23:54:15 268

转载 CENTOS/RED HAT文件夹显示地址栏、在同一窗口打开文件夹

CENTOS/RED HAT文件夹显示地址栏、在同一窗口打开文件夹

2023-02-21 14:31:14 891 1

转载 latex vscode 文献引用显示问号原因

latex vscode 文献引用显示问号原因版权声明:本文为CSDN博主「Yonggie」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。原文链接:https://blog.csdn.net/Yonggie/article/details/124036786

2022-12-07 20:08:45 2066

转载 The datadir (‘pages‘) at ./data/pages is not found, isn‘t accessible or writable. You should check y

The datadir ('pages') at ./data/pages is not found, isn't accessible or writable. You should check your config and permission settings. Or maybe you want to run the installer?

2022-05-27 20:35:58 638

原创 VScode的python使用matplotlib的plt.show()不显示图形

VScode的python使用matplotlib的plt.show()不显示图形, 解决办法:settings:jupyter.themeMatplotlibPlots, check it, then OK.

2022-05-15 00:33:15 11419 5

转载 multisim如何添加8050(例),8550,9013,9014

multisim如何添加8050(例),8550,9013,9014

2022-01-02 17:21:25 7443

原创 DM3058以123rdgs/s的速率测电流

DM3058以123rdgs/s的速率测电流,1,在utility中切换指令集到"FLUKE 45";2,要测量电流,就把界面切换到电流档位模式。

2021-11-25 21:25:53 970 1

原创 pyvisa.errors.VisaIOError: VI_ERROR_TMO (-1073807339): Timeout expired before operation complete

Timeout expired before operation complete

2021-11-25 21:14:57 4715

原创 OSError: Could not open VISA library:

rm = visa.ResourceManager('C:/Windows/System32/visa32.dll')

2021-11-25 20:54:27 930

转载 ESP8266,电脑作为TCP SERVER,8266发数据给电脑

正确打开ESP8266—与本机电脑建立连接并互发消息1.确认ESP8266正常上电2.使ESP8266进入AT模式波特率:115200;数据位8位,1位停止位,无奇偶校正,无数据流控制。3. AT4. AT+RST重启ESP8266,进行一次复位操作,初始化相关寄存器;5. AT+CWMODE=3设置wifi模式,设置为AP/STA并存模式,两种模式都能用。6. AT+RST使AT+CWMODE=3指令生效,将WIFI设置为AP/STA模式;7. AT+CWLAP

2021-11-18 17:22:48 4129 1

转载 漫游者w800bt 电脑不播放一分钟就断开连接 是咋回事?

w800bt同样问题,找到原因了,谷歌浏览器新增的音量调节界面插件的问题,解决方法:“这是谷歌浏览器更新之后最新的插件, 可以进这个网址设置 chrome://flags/#hardware-media-key-handling 里面Hardware Media Key Handling 改成disabled然后右下角relaunch now浏览器重启就关掉了”...

2021-11-13 14:35:05 1437

原创 android 虚拟导航挡住应用底部解决方案(屏幕底部的三个按键)

android 虚拟导航挡住应用底部解决方案(屏幕底部的三个按键)LinearLayout 中,中间的view设置如下属性,上面和下面固定高度android:layout_height="0dp"android:layout_weight="1"<?xml version="1.0" encoding="utf-8"?><androidx.constraintlayout.widget.ConstraintLayout xmlns:android="http://sche

2021-03-21 23:30:39 683

转载 RMS与Std的差别:均方差与标准差

均方差与标准差http://blog.sina.com.cn/s/blog_491b86bf01014mxr.htmlRMS - root mean square(均方差,测绘学科翻译为中误差)STD - Standard Deviation(标准差)有人经常混用RMS与标准差(STD),实际上二者并不是一回事。均方差,均方根误差,RMS——随机变量x的所有可能取值x1,x2,…,xn与其平均值x之差的平方和的平均值叫方差,记为D(x),方差的正平方根叫均方差,RMS=√D(x...

2020-12-18 02:16:07 17774

转载 C/C++语言以某符号分割字符串

C/C++语言以某符号分割字符串https://blog.csdn.net/u012206617/article/details/89850280版权实例一 #include <stdio.h> #include <string.h> int main(int argc, char *argv[]) { char test_str[] = "this,is,a,,,test"; char *pt...

2020-11-04 00:01:44 527

原创 python 连接 DM3058E 读电压数据

python 连接 DM3058E 读电压数据 pip install pyvsia pip install vsia #author:lailaiimport timeimport visaimport pyvisa as visainst_wyb = visa.ResourceManager().open_resource('USB0::6833::2500::DM3R172501092::0::INSTR')inst_wyb.write(":FUNCtion:VOLTage:D.

2020-10-30 10:49:16 805 2

转载 毕导教你如何答辩

https://www.bilibili.com/video/BV1XT4y1u7ca 如何答辩

2020-05-30 13:01:52 478

转载 51单片机C语言us级延时函数

转载自:https://blog.csdn.net/shawsun/article/details/24560255Shawsun2014-04-26 23:34:21C程序中可使用不同类型的变量来进行延时设计。经实验测试,使用unsigned char类型具有比unsigned int更优化的代码,在使用时应该使用unsigned char作为延时变量。 以某晶振为12MHz的单片机为例,晶振为12MHz即一个机器周期为1us。一. 500ms延时子程序程序:void de...

2020-05-30 10:53:53 8888

转载 ubuntu安装搜狗输入法后无法进入桌面环境问题

搜狗输入法for linux 在ubuntu1604 下载后直接打开deb安装,毁我一下午!!!!!!!!!!!!!!!!!!!!!!!!!!!baidu 经验终于找到修复的方法,恢复了ubuntu安装搜狗输入法后无法进入桌面环境问题听语音浏览:641 | 更新:2016-02-13 03:00ubuntu15.10安装搜狗输入法后无法进入桌面环境问题解决方法。当输入密码...

2020-04-28 23:51:48 1924

转载 ubuntu 卡在waiting for unattended-upgr to exit的解决

https://blog.csdn.net/notacoder/article/details/102680465需要删除以下几个lock file就OK了 sudo rm /var/lib/apt/lists/lock sudo rm /var/cache/apt/archives/lock sudo rm /var/lib/dpkg/lock ht...

2020-04-28 22:28:46 12847 4

转载 为什么在制作N型半导体时掺杂五价原子?而不是掺杂六价原子甚至七价原子,不是自由电子更多吗?

作者:流落的橙子星人链接:https://www.zhihu.com/question/370990032/answer/1012032939来源:知乎著作权归作者所有。商业转载请联系作者获得授权,非商业转载请注明出处。所谓N型半导体,其实就是电子型半导体,也即一种自由电子浓度远远大于空穴浓度的杂质半导体。好了,上面就是一个概念而已,大家都知道的,请把握一点,自由电子浓度很高,或...

2020-04-25 14:04:09 19361 1

转载 modelsim 仿真无信号列表

modelsim 仿真无信号列表命令行用 vsim -novopt work.或者修改modelsim.ini里面的选项,去掉优化。ini 文件的具体改发 ,把voptflow =1 改为voptflow =0 ,并且打开,就是去掉前面的;————————————————版权声明:本文为CSDN博主「sun shang chao」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请...

2020-04-22 22:00:10 1625

转载 FPGA差分转单端,单端转差分 IBUFDS OBUFDS BUFG

Xilinx,IBUFDS原语IBUFDS #( .DIFF_TERM("FALSE"), // Differential Termination .IBUF_LOW_PWR("TRUE"), // Low power="TRUE", Highest performance="FALSE" .IOSTANDARD("DEFAUL...

2020-04-22 21:41:49 16515

转载 E: Unable to locate package vsftpd错误

来源:http://blog.csdn.net/zls986992484/article/details/52691819和https://my.oschina.net/chinacion/blog/686925Ubuntu是阿里云ESC默认的样子,如果你修改了sources.list之后还是不能安装可能需要更新一下apt,代码是sudo apt-get update之后再运行apt-...

2020-04-21 21:44:52 1066

转载 Could not get lock /var/lib/apt/lists/lock - open (11: Resource temporarily unavailable)

在想要更新资源的时候出现了以下问题: 而出现此问题的原因是因为可能是有另外一个程序正在运行,导致资源被锁不可用。而导致资源被锁的原因,可能是上次 安装时没正常完成,而导致出现此状况。 解决办法: 在终端敲入这两句命令: sudo rm /v...

2020-04-21 21:43:48 297

转载 E45: ‘readonly‘ option is set (add ! to override)

vim 修改文件出现错误“E45: ‘readonly‘ option is set (add ! to override)”:其实这是权限造成的,文件设置成了只读权限,众所周知,只读文件修改前需要执行sudo,但是已经修改了,如何强制保存呢?技术宅告诉你!只需要保存的时候执行如下命令::wq!以上命令是强制保存退出,然后就可以了!...

2020-04-21 21:34:00 349

转载 解决Ubuntu安装ftp服务出现的 Unable to locate package vsftpd问题

解决Ubuntu安装ftp服务出现的 Unable to locate package vsftpd问题(绝对有用!!!)原创 倾城一笑stu 最后发布于2016-09-28 16:55:31 阅读数 9293 收藏展开1 . Ubuntu系统下ftp服务的安装首先我们得先在Ubuntu下安装ftp服务,如下图:失败的原因是还没有更新源。解决办法:使用终端软件secureCR...

2020-04-21 21:32:24 1575

原创 AD altium designer 边框keep-out layer有几个圆弧相切不能做outline

就是把outline上的线先换到别的层(比如说丝印层)连上,然后再换回到outline层上,就好了答案找到于:http://www.edatop.com/ee/pcb/300886.html

2020-04-10 22:45:03 964

转载 Quartus 工程中移除signaltap的方法

Quartus 工程中移除signaltap的方法问题描述:观察完信号之后,不想以后的quartus工程继续每次全编译都编译signaltap,加快编译时间办法:在quartus的project navigator中右击step1.step,选择移除即可...

2020-04-10 19:24:50 3756

转载 LogicLock: 逻辑锁定流程与实践:(时序约束、逻辑锁定、反标、增量编译)

LogicLock: 逻辑锁定流程与实践:(时序约束、逻辑锁定、反标、增量编译)https://weibo.com/p/1001603952035761388055?comment=12016年3月12日 02:50阅读 192016年3月12日 周六 晴 小玄子//*********************************1.合理规划设计层次并划分模块;...

2020-04-09 23:32:16 1462

转载 LogicLock 设计方法

LogicLock 设计方法http://www.360doc.com/content/11/1105/18/8045392_162037758.shtmlLogicLock是Quartus II内嵌的高级工具之一,通过FPGA物理位置的区域性约束完成提高设计性能、继承以往设计成果、增量编译和团队化设计等功能。本章主要内容:. LogicLock设计方法简介;. Logic...

2020-04-09 23:13:53 445 1

原创 quartus调用modelsim仿真每次都要重新打开modelsim吗?联合仿真每次都要关闭modelsim再重新打开吗

问题描述:每次在quartus 上修改testbench 后需要关掉modelsim 才能仿真吗?不关掉执行tool - EDA RTL simulation 会弹出错误提示框。这样好麻烦啊,求高手指点很多初学FPGA用quartus和modelsim联合仿真的朋友会有很多问号?????“为什么,你跑的比别人快,飞得比别人高,别人看的都是你画的漫画,听的都是你写的歌~~~” 啪……写代...

2020-04-09 12:30:14 2353 3

原创 modelsim时序仿真查看中间信号,多位(128bits)如何一次性添加(# invalid command name "0")

问题描述:只能从仿真左边的框框里一个一个的拖出来,一共有128位。如何把这多个bits同时引出来?modelsim做时序仿真,顶层文件 tdc_carry_chain.v 下面有一个module 叫 unsigned_adder.v 其中又例化了一个加法器ip核。由于 unsigned_adder 的输出还加了一级 128 bits 的D触发器,仿真的时候,想看 unsigne...

2020-04-07 15:58:31 3015 1

转载 什么是PHY

什么是PHYhttps://www.cnblogs.com/YINBin/p/10980936.html 转载自:那些城市那些年PHY((Physical Layer,PHY))是IEEE802.3中定义的一个标准模块,STA(station management entity,管理实体,一般为MAC或CPU)通过SMI(Serial Manage Interface)对PHY的行...

2020-04-06 22:31:55 6543

转载 AXI4-Stream协议总结

AXI4-Stream协议总结转自:https://blog.csdn.net/wordwarwordwar/article/details/79566599转载长弓的坚持最后发布于2018-03-15 13:21:03阅读数 5198收藏展开AXI4-Stream去掉了地址项,允许无限制的数据突发传输规模;一、接口信号描述 信号 源 ...

2020-04-06 22:14:11 400

转载 PCI-Express板卡PCB设计

PCI-Express板卡PCB设计转自:https://www.cnblogs.com/chenman/p/3647604.html woaichengdian  在像PCIE这样的高频环境中,传送线在信号线上驱动电压变化时会出现阻抗,信号线的宽度和到接地的距离都会影响其阻抗,所以在设计PCB时需要参考PCIE总线规范,特别要注意考虑信号阻抗匹配。以下供设计PCB时作为参考:...

2020-04-06 22:05:22 1191

转载 PCIe总线(协议简述)

PCIe总线(协议简述)转自:https://www.cnblogs.com/YINBin/p/10946247.html PCIe总线(协议简述) - 那些城市那些人 - 博客园1. PCIe基础知识PCI-Express(peripheral component interconnect express)是一种高速串行计算机扩展总线标准,它原来的名称为“3GIO”,是由英特尔在...

2020-04-06 21:56:35 2283

转载 将整个网站页面变成黑白色

<style type="text/css">html {-webkit-filter: grayscale(100%);}</style> 上面的 CSS 代码可能只对主流浏览器支持,如果你需要支持 QQ 浏览器、360 浏览器、IE 浏览器等等其他浏览器需要使用下面这段: <style> html { ...

2020-04-03 19:00:05 3389

PID学习软件(C#实现PID)

PID学习软件(C#实现PID)。通过界面中的文本框可以直接设置多项参数。欢迎下载使用,包含程序源代码。通过界面中的文本框可以直接设置多项参数。可以只有P、I、D或者只用PI、PD、ID或者同时使用PID,方便各位体验P、I、D在控制中分别起到的作用。具体样式可以看博文:https://blog.csdn.net/kai73/article/details/88565377

2019-03-15

stm32f407实现定时器3(Timer3)触发ADC双通道同时采样(ADC_DualMode_RegSimult)并在DMA中断读取每次转换的结果

对两路信号进行ADC同时采样。由于一路信号将作为参考信号解调另一路信号,所以要确保两路信号的每次采样是同时进行的。所以,需要将ADC设置成“多重ADC模式”中的“规则同时模式”下的“双重ADC模式”(ADC_DualMode_RegSimult)。由于待采样的信号心率范围不确定,但是又要求每次采样之间的时间间隔较为精确,故需要ADC采样率可调但是又不能简单的使用delay()函数,所以要求ADC的每次转换由定时器触发(ADC_ExternalTrigConvEdge_Rising)。 资源参考:https://blog.csdn.net/kai73/article/details/86763320

2019-02-05

教室及会议室预约系统(C#小程序,课堂作业)

教室及会议室预约系统(C#小程序,课堂作业) 可以实现教室的预约,时段分为2个小时一段 可以预约多个时段,也可以取消预约,取消时需要输入预约时输入的密码。

2015-12-17

四则运算 表达式 计算器 源程序 及 安装程序

用递归实现的 四则运算 计算器 可以做 加减乘除及含有括号的 简单四则运算 完全使用递归实现按,主程序只有一个用于计算的函数 函数内部分了三个步骤分解四则运算式子: 1,拆分括号 2,先算乘除(按加减分割表达式) 3,后算加减

2015-12-17

小学生 四则运算 测试软件

包含C#源程序代码及打包好的安装程序。 可以选择测试难度: 题量,四则运算目数,四则运算类型,题目最大数值范围,是否包含负数运算,含有括号的运算,等。

2015-12-17

asp.net 作业信息 管理 发布 系统

asp.net 作业信息 管理 发布 系统

2014-02-12

asp.net 学生成绩 管理系统 WEB编程 作业

asp.net 学生成绩 管理系统 WEB编程 作业

2014-02-12

php 仿wordpress 自动安装 自动配置数据库 留言板

php 仿wordpress 自动安装 自动配置数据库 留言板

2014-02-12

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除