自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

思维蓝图

只有想不到,没有做不到!

  • 博客(27)
  • 资源 (8)
  • 收藏
  • 关注

原创 基于NIOS II的流水灯

通过设计一个由18个发光二级管组成的流水灯控制电路来完整地描述Nios II软核处理器系统的开发过程。开发环境:Quartus II 9.0、Nios II 9.0 IDE。说明:详细内容参考赫建国 倪德克 郑燕编著《基于Nios II内核的FPGA电路系统设计》电子工业出版社。1 配置NIOS II软核处理器系统1.1 创建Nios II软核处理器系统首先新建一个

2012-09-13 09:39:21 9439 2

原创 两个路由器互连设置方法

两个路由器连接最常见的情况是家中已有一个路由器,并且已经通过这个路由器来正常上网。现在是因某些原因想在不改变已经在用的路由器的设置的情况下增加一个无线路由器。而直接接上去可能会造成两台都不能上网了。有二种连接方法:首先定义你的二台路由器,第一台称为A路由器,第二台称为B路由器。并且前提是A路由器已经设置好能正常上网(连接光纤接入或ADSL猫)。方法1:WAN口连接。1.1 B路由器连接

2013-03-03 21:35:09 6015

转载 C语言计算程序运行时间

C/C++中的计时函数是clock(),而与其相关的数据类型是clock_t。在MSDN中,查得对clock函数定义如下:clock_t clock( void );这个函数返回从“开启这个程序进程”到“程序中调用clock()函数”时之间的CPU时钟计时单元(clock tick)数,在MSDN中称之为挂钟时间(wal-clock)。其中clock_t是用来保存时间的数据类型,在

2013-01-19 15:13:07 620

原创 计算机丢失tbb_debug解决方案

方案1将Opencv安装目录下...\bin\ia32\vc9文件夹找到tbb.dll文件重名为tbb_debug.dll文件。 方案2出现“计算机丢失tbb_debug.dll,请重新安装”的错误,解决这个问题的步骤是:1,http://threadingbuildingblocks.org/sites/default/files/software_releases/wind

2013-01-19 14:58:56 667

转载 电脑磁盘碎片整理

注:电脑磁盘碎片管理2013年01月16日星期三磁盘碎片整理,就是通过系统软件或者专业的磁盘碎片整理软件对电脑磁盘在长期使用过程中产生的碎片和凌乱文件重新整理,释放出更多的磁盘空间,可提高电脑的整体性能和运行速度。 1. 什么是磁盘碎片磁盘碎片应该称为文件碎片,是因为文件被分散保存到整个磁盘的不同地方,而不是连续地保存在磁盘连续的簇中形成的。硬盘在使用一段时间后,由于反复写入和删除

2013-01-16 11:01:26 1021

原创 图解WinXP局域网共享设置步骤

注:图解XP局域网共享设置步骤2013年01月03日星期四第一章:共享的前提工作1.更改不同的计算机名,设置相同的工作组!2.我的电脑右键-管理-计算机管理-系统工具-本地用户和组-用户:更改管理员用户名!(注:若用户不需更改,保持默认用户名,则可跳过此项)3.手动设置IP,将ip设置在同一个网段,子网掩码和DNS解析相同!(注:若用户是自动获得ip地址上网的,则可跳过此项

2013-01-05 12:51:07 7215

原创 SDRAM控制器

序言:嵌入式系统和PC的“内存”基本上都采用同步动态随机储存器(SDRAM),目前SDRAM包括单速率(SDR)、双速率(DDR)和四倍速率(QDR),其基本工作原理都是一样的。1、SDRAM存储单元的工作原理随机存取存储器(RAM)分为静态RAM和动态RAM两种。静态RAM的每个存储单元需要6个晶体管,如下图所示;而动态RAM每个存储单元只有一个晶体管加一个电容,因此静态RA

2012-10-16 13:26:30 1142

原创 基于FPGA的PS2接口键盘驱动

一、实验目的熟悉PS2接口,以及键盘的工作原理,学会用Verilog HDL设计键盘的PS2接口驱动。二、实验内容设计基于FPGA的PS2接口键盘驱动Verilog程序,并下载到ALTERA的DE2学习板验证程序,通过RS-232接口将键盘的结果输送到上位机,显示键盘按键结果。三、实验原理如图3.1所示,PS2标准使用了6个接口,各个接口的定义如表3.1所示

2012-10-16 13:15:22 4621 2

原创 基于FPGA的串口通信

一、实验目的了解串口通信(UART),并掌握串口通信的原理。本实验只针对RS-232标准,该接口电路使用MAX232作为电平转换芯片。二、实验内容用Verilog HDL设计串口通信程序,并使用Quartus II 9.0综合,最后综合通过后下载到ALTERA的DE2学习板检测该设计是否符合要求,上位机为串口大师ComMaster。该实验要实现的功能是:FPGA实时监测RS

2012-10-08 10:18:55 3902

原创 基于FPGA的LCD1602驱动

一、功能描述本设计实现LCD_1602的接口,具体功能定义如下:1、异步复位信号;2、按下复位键后在LCD_1602液晶屏显示内部设置好的字符,每间隔0.1秒显示一个字符。二、输入输出信号描述三、设计思想1、首先把50MHz的时钟信号转化为10Hz的信号,实现每0.1秒显示一个字符,设计一个分频器。2、LCD_RW设置为0,因为只有写信号,没有读信号

2012-10-07 12:02:07 8877 4

原创 简单的Testbench例子(复位信号的产生)

简单的Testbench例子(复位信号的产生)/*code*/// 已经定义`timescale1ns/100psinitialbeginrst_n=0;#100;//延时100nsrst_n=1;//撤销复位end以任务的形式给出复位激励/*code*/initialbeginreset_task(100);//复位100ns,已经定义`timescale

2012-09-02 21:43:06 3849

原创 初始化储存器

Verilog提供了非常有用的系统任务来根据数据文件对储存器进行初始化。有两个任务可用来读取二进制数或者十六进制数。关键字$readmemb和$readmemh用于初始化储存器。用法:$readmemb("", );$readmemb("", , );$readmemb("", , , );$readmemh的语法与之相同。和是必须的。和是可选的。的默认值是储存器数组的开始

2012-08-26 18:16:46 1682

原创 基于MATLAB的canny算子

Matlab中的canny算子就做的相当好,其边缘检测效果非常优秀。为了使读者更容易读懂其中的算法,我将源代码转化为更加浅显易懂的代码。代码下载地址:http://download.csdn.net/detail/jackinzhou/4523620Matlab中的canny边缘检测算法如下:%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%说明

2012-08-24 18:06:03 36808 1

原创 基于MATLAB的opencv中的canny算子

实际上opencv中的canny算子并没有进行高斯平滑,因此在使用该函数之前,根据需要先进行相应的平滑处理,计算梯度使用的是1阶的sobel算子,核大小由用户输入,并且高阈值及低阈值,也必须由用户输入。Opencv中的源代码cvCanny要完全弄清楚需要花一定的时间,这里我们将opencv中的源代码转化为通俗易懂的MATLAB代码,方便读者弄清楚其中的算法。%%%%%%%%%%%%%%%%

2012-08-24 17:34:50 1909

转载 SystemC概述

System C是一种软/硬件协同设计语言,一种新的系统级建模语言。它包含了一系列C++的类和宏,并且提供了一个事件驱动的模拟核,使得系统的设计者能够用C++的词法模拟并行的进程,特别是在SoC系统中。  近年来Synopsys 公司, CoWare 公司和Frontier Design公司合作开发了SystemC 。在1999 年9 月27 日四十多家世界上著名的EDA 公司, IP

2012-08-23 21:06:30 1201

转载 Verilog HDL概述

Verilog HDL是一种硬件描述语言(HDL:Hardware Discription Language),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 Verilog HDL和VHDL是目前世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。前者由Gateway Design Auto

2012-08-23 21:01:57 3083 1

原创 opencv概述

OpenCV的全称是:Open Source Computer Vision Library。OpenCV于1999年由Intel建立,现在由Willow Garage提供支持。OpenCV是一个基于(开源)发行的跨平台计算机视觉库,可以运行在Linux、Windows和Mac OS操作系统上。它轻量级而且高效——由一系列 C 函数和少量 C++ 类构成,同时提供了Python、Ruby、

2012-08-23 20:51:03 907

转载 图像变换 -拉普拉斯变换(cvLaplace)

拉普拉斯变换(Laplace Transform),是工程数学中常用的一种积分变换。拉普拉斯变换也可以用作边缘检测,用二次导数的形式定义。函数 cvLaplace 计算输入图像的 Laplacian变换,方法是先用 sobel 算子计算二阶x和y差分,再求和。可假设其离散实现类似于二阶Sobel导数。事实的确如此,OpenCV在计算Laplace算子时直接使用Sobel算子。

2012-08-23 20:35:54 9208

原创 Opencv2.3.1在VS2008和VS2010平台上安装配置图解

1、 下载软件。Opencv2.3.1可从此处下载到:http://www.opencv.org.cn/index.php/DownloadVS Express是微软提供的免费版,可从此处下载: http://www.microsoft.com/visualstudio/en-us/products/2010-editions/express2、 安装软件。先安装VS2008或VS

2012-08-23 19:57:45 973

原创 图像处理 - 平滑处理(cvSmooth)

“平滑处理”也成为“模糊处理”,是一项简单且使用频率很高的图像处理方法,用图很多,但最常见的是用来减少图像上的噪声或者失真。降低图像分辨率时,平滑处理是很重要的。目前opencv可以提供5中不同的平滑操作方法,所有操作都有cvsmooth函数实现。(1)简单模糊利用平均模板,采用领域平均,输出图像是窗口输入图像对应像素的简单平均值。(2)简单无缩放变换的模糊简单无缩放变换的模糊

2012-08-23 19:29:53 19776 3

原创 图像变换 - Canny算子边缘检测(cvCanny)

John Canny于1986年提出Canny边缘检测算法。John Canny研究了最优边缘检测方法所需的特性,给出了评价边缘检测性能优劣的三个指标:1.好的信噪比,即将非边缘点判定为边缘点的概率要低,将边缘点判为非边缘点的概率要低;2.高的定位性能,即检测出的边缘点要尽可能在实际边缘的中心;3. 对单一边缘仅有唯一响应,即单个边缘产生多个响应的概率要低,并且虚假响应边缘应该得到

2012-08-23 18:01:27 2406

原创 图像变换 - sobel算子(cvSobel)

首先,我们来开一下计算机是如何检测边缘的。以灰度图像为例,它的理论基础是这样的,如果出现一个边缘,那么图像的灰度就会有一定的变化,为了方便假设由黑渐变为白代表一个边界,那么对其灰度分析,在边缘的灰度函数就是一个一次函数y=kx,对其求一阶导数就是其斜率k,就是说边缘的一阶导数是一个常数,而由于非边缘的一阶导数为零,这样通过求一阶导数就能初步判断图像的边缘了。通常是X方向和Y方向的导数,也就是梯度。

2012-08-23 17:49:39 2233

原创 图像变换 - 卷积(cvFilter2D)

最常见的图像变换(image transform,即将一幅图像转变成图像数据)就是傅里叶变换(Fourier transform),即将图像转换成源图像数据的另一种表示,而卷积是大多数变换的基础。我们可以用方程来表示这个过程。我们首先定义图像为I(x,y),核为G(x,y),参考点位于相应核的(ai,aj)坐标上,则卷积H(x,y)定义如下:边缘部分使用复制处理,计算方法如

2012-08-23 16:49:04 7420

转载 modelsim中代码覆盖率使用详解

Modelsim代码覆盖率功能Code coverage,能报告出statement(语句)、branch(分支)、condition(条件)、expression(表达)、toggle(信号翻转)、fsm(有限状态机)等多种覆盖率情况。1、编译选项(compile option):在Modelsim的Workspace里选中需要查看代码覆盖率的文件,然后点击右键选择compile—>co

2012-08-22 20:23:33 23613

原创 ModelSim查看SystemC产生的波形

在Windows环境下可以利用Modelsim来查看VCD文件。以下详述用Modelsim查看VCD文件的流程。 在此之前认为用户熟练使用Modelsim。1、创建Modelsim新工程。2、将SystemC在VS2008产生的VCD文件(编译通过)添加至这个新工程里头来。3、输入命令:vcd2wlf  原文件名字>.vcd  转化后文件名字>.wlf ,将VCD文件转换成Models

2012-08-22 16:20:25 2469 1

转载 Visual Studio 2008配置SystemC开发环境

步骤一、编译System库1.下载SystemC library source code       到http://www.systemc.org 注册会员账号后,即可下载SystemC library soure code2. 以SystemC 2.2.0为例,下载后的文件名喂systemc-2.2.0.tgz,解压到C盘目录下:C:\systemc-2.2.03.

2012-08-18 13:35:33 1447

原创 使用ModelSim进行时序仿真

一、准备工作首先需要EDA综合工具生成用于功能或时序仿真的网表文件(VHDL为.vho,Verilog为.vo),以及使用EDA仿真工具进行时序仿真时所需要的包含时序延时信息的标准延时格式输出文件(.sdo)。这里我们以EDA工具为ALTERA的Quartus II 9.0为例,使用Verilog DHL,讲解如何使用Quartus II 9.0生成ModelSim 6.2b时序仿真所需的

2012-08-14 17:21:05 23447 1

基于FPGA的串口通信

基于FPGA的串口通信 详细见微博:http://blog.csdn.net/jackinzhou/article/details/8047132

2012-10-18

基于FPGA的PS2接口键盘驱动

熟悉PS2接口,以及键盘的工作原理,学会用Verilog HDL设计键盘的PS2接口驱动。 详细参考博文:http://blog.csdn.net/jackinzhou/article/details/8076646

2012-10-16

LCD12864显示的图像数据

该文件为LCD12864显示图像的测试文件,存储图像的数据。

2012-10-07

基于MATLAB的canny算子

Matlab中的canny算子就做的相当好,其边缘检测效果非常优秀。为了使读者更容易读懂其中的算法,我将源代码转化为更加浅显易懂的代码。

2012-08-24

基于MATLAB的opencv中的canny算子

Opencv中的源代码cvCanny要完全弄清楚需要花一定的时间,这里我们将opencv中的源代码转化为通俗易懂的MATLAB代码,方便读者弄清楚其中的算法。 详细参考我的博客:http://blog.csdn.net/jackinzhou/article/details/7904960

2012-08-24

modelsim中代码覆盖率使用详解

Modelsim代码覆盖率功能Code coverage,能报告出statement(语句)、branch(分支)、condition(条件)、expression(表达)、toggle(信号翻转)、fsm(有限状态机)等多种覆盖率情况。 详细见博客:http://blog.csdn.net/jackinzhou/article/details/7897189

2012-08-22

Modelsim后仿真(时序仿真)

EDA工具以ALTERA的Quartus II 9.0为例,使用Verilog DHL,讲解如何使用Quartus II 9.0生成ModelSim 6.2b时序仿真所需的.vo及.sdo文件,以及如何使用该文件在第三方仿真工具ModelSim进行时序仿真。详细内容可以看我的博客:http://blog.csdn.net/jackinzhou/article/details/7865905

2012-08-18

基于FPGA的flash读写控制

基于FPGA的flash读写控制,包括擦除(格式化),写数据和读数据,使用Verilog HDL描述。

2012-08-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除