自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(0)
  • 资源 (33)
  • 收藏
  • 关注

空空如也

交流传动系统高性能控制及MATLAB SIMULINK建模[海瑟姆·阿布鲁2019.1]高清+书签

采用合理的控制策略,按照负载特性对交流电气传动系统进行调速,会显著提高其电能利用效率。高性能传动使电机具有快速、准确的动态响应,且提供良好的稳态性能。本书首先给出了交流电机的基本模型(包括异步电机、永磁同步电机、双馈异步电机),详细阐述了电压型逆变器的脉宽调制技术,然后针对交流电机的高性能控制进行了深入的分析(磁场定向控制、直接转矩控制、非线性控制等),并对五相异步电机的传动系统、交流电机的无传感器控制技术进行了探讨,*后针对逆变器输出侧带有LC滤波器的交流传动系统中存在的几个典型问题(滤波器设计、共模电压抑制、矢量控制技术中变量观测与电机控制的改进等)的分析非常有价值。本书实用性强,并配以大量的MATLAB/Simulink仿真模型,对读者验证算法、掌握交流电气传动系统控制技术与控制技巧大有裨益。本书非常适合电机、电力电子、自动控制专业高年级本科生、研究生以及工作在一线的科技人员使用。学习本书的前期知识是电机、电力电子和自动控制。

2019-10-11

基于Cadence的信号和电源完整性设计与分析[周润景,王洪艳 2017.1].pdf

本书主要介绍信号完整性和电源完整性的基础理论和设计方法,结合实例详细介绍了如何在Cadence Allegro Sigrity仿真平台完成相关仿真并分析结果。同时,在常见的数字信号高速电路设计方面,本书详细介绍了高速并行总线DDR3和高速串行总线PCIE、SFP+传输的特点,以及运用Cadence Allegro Sigrity仿真平台的分析流程和方法。本书特点是理论和实例相结合,并且基于Cadence Allegro Sigrity的ASI 16.64以及Sigrity 2015仿真平台,使读者可以在软件的实际操作过程中理解各方面的高速电路设计理念,同时熟悉仿真工具和分析流程,发现相关的问题并运用类似的设计、仿真方法去解决。

2019-07-02

精通开关电源 第3版[美KeithBillings TaylorMorey2017.8]图灵带书签.pdf

KeithBillings在20多年前就意识到许多工程师希望出版一本开关电源方面的通用手册,于是编写了本书第1版。本书实用性强,通俗易懂,包含了当今许多常用的技术,同时也介绍了的发展动态。作者将以往学生和初级工程师在学习此门课程中为关心的问题进行了综合,并根据自己在处理这些问题中积累的经验,通过一些简单易懂的设计实例,对该主题进行了为直接的讨论,书中所列举的例子不需读者事先具备相关的知识。本书还详细介绍了绕线元件的设计,绕线元件直接关系着系统的性能,不过并不太容易理解。在第3版中,作者继续采用前两版中广受欢迎的易于接受、非学术性、将简单理论和数学分析相结合的编写风格,为了简单起见,还在完全严格的书写方式上作出了取舍。因此,版理应再次得到包括学生、初级工程师、感兴趣的非使用者和电源工程师在内的广大读者的青睐。

2019-06-29

MATLABR2016a在电子信息工程中的仿真案例分析[杨发权.2017.6].pdf

本书以MATLAB R2016a为平台,在讲解各实现方法的过程中给出相应的实例,使得本书应用性更强,实用价值更高。   全书共25章,主要介绍控制系统设计应用、神经网络设计应用、数字图像处理算法分析及其应用、通信系统的实际应用和数字信号处理技术等内容。MATLAB以其独特的魅力,成为电子信息工程领域强有力工具。   本书主要作为控制工程、通信工程、电子信息工程领域广大科研人员、学者、工程技术人员的参考用书,也可作为高等院校的教师、在读理工科学生用书。

2019-06-17

电动机的单片机控制 第4版[王晓明 2016.1].pdf

电动机的数字控制是电动机控制的发展趋势,用单片机对电动机进行控制是实现电动机数字控制最常用的手段。本书详尽、系统地介绍了常用的直流电动机、交流电动机、步进电动机、无刷直流电动机、交流永磁同步伺服电动机、开关磁阻电动机的控制原理和采用单片机进行控制的方法,并给出了单片机控制电路和软件;同时,还介绍了用于电动机驱动的常用功率元器件的特性和驱动电路,用于电动机闭环控制的常用传感器的原理以及与单片机的接口电路,用于电动机优化控制的数字PID与数字滤波的算法和编程。   本书适合对电动机的单片机控制感兴趣的初学者使用,也可作为高等院校机械电子工程、电气工程及其自动化、工业自动化、电机和电器智能化等专业的教材,还可作为相关专业工程技术人员的自学用书。

2019-05-28

零基础学单片机C语言程序设计(第3版)[赵建领.2014.7].pdf

单片机C51语言是目前流行的单片机程序设计语言。本书由浅入深,循序渐进地讲解了C51语言的方方面面。本书知识点覆盖全面、结构安排紧凑、讲解详细、言简意赅、通俗易懂、实例丰富。内容包括51系列单片机开发环境和流程、程序设计基础以及编程指南,并给出了一些常用的典型案例。全书分为四篇,共21章,全面详细地讲述了单片机的程序设计基础、编程指南及应用案例。首先介绍了51系列单片机的基本结构以及单片机的开发概述,其次详细介绍了单片机程序设计的C51语言,然后结合单片机的硬件资源细致讲解了如何应用C51语言进行编程操作,后结合实际应用,重点分析了在各个领域中常用到的一些典型案例,使读者更加融会贯通地掌握C51语言,以供读者在学习和工作中进行参考。在第21章中还介绍了部分常见的面试题及解答,方便读者做好入职前的准备工作。

2019-05-21

手把手教你学单片机C程序设计(第2版)[周兴华.2014.3].pdf

 《手把手教你学系列丛书·手把手教你学单片机C程序设计(第2版)》以实践为主线,以生动短小的实例为灵魂,穿插介绍C语言的语法及针对单片机的特别定义,使理论与实践结合,使读者掌握单片机的C语言编程。内容包括:C语言的基础知识、Keil软件的使用、程序的编写与调试方法及其他相关知识。随书光盘提供了书中所有实验程序代码和多媒体教学例程,包括KeilC51安装演示、KeilC51实际操作演示和程序的下载实际操作演示动画等。本书贯彻《手把手教你学系列丛书》相同的教学方式。书中附有光盘,含本书所有的程序设计文件以及多媒体教学课件。本书可用作大学本科教材,也可用作专科、中高等职业技术学校、电视大学等的教学用书,还可作为单片机爱好者的自学用书

2019-05-21

易语言中文编程从入门到精通(第2版)[大连易翔.2017.6] (优化).pdf

本书介绍了全中文、全可视、全编译、跨平台的编程语言——“易语言”。该程序实现了真正的中文编程,彻底摆脱英文的语言模式,符合中国人的习惯。“易语言”功能强大,资源丰富,是广大编程爱好者的理想的选择。书中全面、详细介绍了易语言的使用方法,内容详实、通俗易懂、结构清晰、循序渐进、图文并茂,配有大量示例。

2019-05-17

中大功率开关变换器(原书第2版) [美] 多林O.内亚克苏(DorinO.Neacsu 著

本书对中大功率开关变换器系统的多学科方面进行了有关讨论,包括基本的电力电子技术、数字控制和硬件、传感器、模拟信号的预处理、保护器件和故障管理、脉冲宽度调制(PWM)算法、中大功率的开关变换器等。本书适合从事电力电子技术设计与制造的工程技术人员阅读,也可作为电气自动控制技术相关专业的本科生和研究生的参考书

2019-04-17

智能优化算法及其MATLAB实例-(第2版)

智能优化算法在解决大空间、非线性、全局寻优、组合优化等复杂问题方面具有独特的优势,因而得到了国内外学者的广泛关注,并在信号处理、图像处理、生产调度、任务分配、模式识别、自动控制和机械设计等众多领域得到了成功应用。本书介绍了8种经典智能优化算法——遗传算法、差分进化算法、免疫算法、蚁群算法、粒子群算法、模拟退火算法、禁忌搜索算法和神经网络算法的来源、原理、算法流程和关键参数说明,并给出了具体的MATLAB仿真实例。对于要用这些算法工具来解决具体问题的理论研究和工程技术人员,通过本书可以节省大量查询资料和编写程序的时间,通过仿真实例可以更深入地理解、快速地掌握这些算法

2019-04-17

信号、电源完整性仿真设计与高速产品应用实例

目前市面上信号与电源完整性仿真书籍的内容普遍偏于理论知识或分散的仿真样例,给读者的感觉往往是“只见树木不见森林”。针对这种情况,本书基于一个已成功开发的高速数据加速卡产品,从产品的高度介绍所有的接口及关键信号在开发过程中信号、电源完整性仿真的详细过程,对涉及的信号与电源完整性仿真方面的理论将会以图文结合的方式展现,方便读者理解。为了使读者能系统地了解信号与电源完整性仿真知识,书中还加入了PCB制造、电容S参数测试夹具设计等方面的内容,并免费赠送作者开发的高效软件工具。 本书编写人员都具有10年以上的PCB设计、高速仿真经验,他们根据多年的工程经验把产品开发与仿真紧密结合在一起,使本书具有更强的实用性。本书适合PCB设计工程师、硬件工程师、在校学生、其他想从事信号与电源完整性仿真的电子人员阅读,是提高自身价值及竞争力的不可多得的参考材料

2019-04-17

基于HyperLynx 9.0的信号和电源完整性仿真分析 周润景 著+书签

本书以HyperLynx 9.0软件为基础,以具体的电路为范例,系统讲述了信号完整性和电源完整性仿真分析的全过程。本书不仅介绍了信号和电源完整性设计的基础知识,也详细介绍了HyperLynx 9.0软件的功能和使用流程。为了使读者对高速电路设计有更清晰的认识,本书还以理论与实践相结合的方式,对HDMI、PCI-E、DDR等设计电路布线前、后的仿真进行了详细介绍

2019-04-10

电源完整性 史蒂文 M.桑德勒 著,梁建,羊杨,蒋修国 等 译

本书从测量的角度出发,全面阐述了电源对系统的影响。作者在第1-3章介绍了测量基础、测量原理以及测试基本常识,比如灵敏度、本底噪声、动态范围、均值以及衰减器和前置放大器的使用,围绕各种测量域如频域、时域、增益、相位以及S参数等展开;第4章以实例介绍了如何使用测试设备测量电源完整性;第5章介绍了各种探头;第6章则围绕电源的分布网络展开;第8-15章介绍了特殊的电源完整性测量方法

2019-04-10

光伏发电最大功率点跟踪控制技术

本书介绍了光伏发电功率所需的控制电路、系统和技术。第1章简单介绍了一些光伏阵列建模方法,确保光伏阵列无论在匹配还是非匹配的情况下都能正常运作;第2、3章主要阐述了如何实现佳MPPT性能以及对影响算法结果的参数的设计;第4章从电力系统结构和控制算法方面讨论了如何在非匹配情况下实现发电量大化;第5章介绍了具备MPPT功能的DC/DC变换器的设计,特别强调了其能源效率

2019-04-10

TP2272 在正弦波控制BLDC 电流检测中的应用

TD17112801_ TP2272 在正弦波控制BLDC 电流检测中的应用

2019-01-17

常用驱动电路设计及应用 周润景著

本书介绍了19个典型的驱动电路设计案例,内容包含LED点阵驱动电路系统设计、LED荧光灯驱动电路系统设计、液晶显示器驱动电路系统设计、数码管驱动电路系统设计、MOS管驱动电路系统设计、蜂鸣器驱动电路系统设计、继电器驱动电路系统设计、扬声器驱动电路系统设计、霓虹灯驱动电路系统设计、L298N电机驱动电路系统设计、脉冲变压器驱动电路系统设计、H桥电机驱动电路系统设计、脉冲调制电机驱动电路系统设计、步进电机驱动电路系统设计、有刷直流电机驱动电路系统设计、IGBT驱动电路系统设计、双极性三极管对管驱动电路系统设计、电磁阀驱动电路系统设计、晶闸管驱动电路系统设计。这些案例均来源于作者多年的实际科研项目,因此具有很强的实用性。通过对本书的学习和实践,读者可以很快掌握常用驱动电路设计的基础知识及应用方法。

2019-01-16

屏幕录像专家V2014完美破解版,录像一段时间不再显示未注册

本压缩包包括: 1.屏幕录像专家V2014Crack 2.屏幕录像专家V2014.exe 3.Xvid MPEG-4 编码器 1.2.1 final.exe 4.屏幕录像专家完美破解教程 屏幕录像专家V2014完美破解版,不再录像一段时间显示未注册,真正的完美破解,内附详细的破解说明. 由于本人需要积分下载其他文档,收资源分望大家谅解!

2015-03-15

精通matlab2011a教程及仿真文件

本书由纸质媒体和电子媒体有机结合而成。纸质媒体便于读者进行系统、全面、长时间连续地阅读,便于随心翻阅、浏览;而电子媒体向读者提供色彩信息和动态交互的软件环境,提供读者实践本书内容所需的各种文件。 本书包含MATLAB使用和数学知识的丰富层次。编著本书有四个主要目的:(1) 帮助初学者顺利跨入MATLAB大门;(2) 全面、多层次、细致而深入地叙述MATLAB中数值、字符串、胞元、构架、逻辑、函数句柄六种重要数据类型的应用规则、相互配用和编程规范;(3) 由浅入深地阐述MATLAB三种建模、分析、仿真环境——数值计算、符号计算和Simulink环境的特征和使用要领;(4) 以实例讲述MATLAB代表的现代计算能力对传统算法和思维的影响。 全书包含276个算例。所有算例的程序都是可靠、完整的。读者可以完整、准确地重现本书所提供的算例结果,以掌握要领,举一反三,到达灵活应用的境地。 本书正文和算例所涉及的指令全部罗列在“附录C索引”中。该索引与目录组合,可为读者提供比较完善的快速查阅环境。 随书光盘中包含:黑白纸质印刷版无法表现的各种彩色图形;用Mbook模板制作的“活性”的各章DOC文档;各算例运行所需的M文件和MAT数据文件;Simulink块图模型的MDL文件;图形用户界面的FIG文件。 本书既可作为理工科院校研究生、本科生系统学习的教材,又可以作为广大科技工作者借助MATLAB进行科学计算及仿真的自学和参考用书

2013-07-06

PIC过零检测参考,过零检测,交流电频率检测

通过PIC过零检测的例程,帮助大家了解交流电频率测量。 程序中举例了,用中断检测过零信号,从而计算出交流的的频率。其中边沿触发的方式适合大家借鉴!

2010-12-13

C例程-单片机如何配合LCD实现触摸屏、拼音输入法、动态曲线、窗口图片切换的程序

MCU 是C8051F410,时钟使用PCF8563,整个程序不到4K 主要评估演示了以下功 两条示波器效果动态曲线的实现,使用了连线和区域清除指令 时钟的显示和调整,使用了文本显示指令,中文和ASCII字符混合显示 图片剪切功能,在屏幕右上角每秒切换显示一个小图片,用户可以类似的制作漂亮的3D模拟表盘 触摸按键的实现 借助RS内嵌的拼音输入法实现汉字输入

2010-11-21

MC34063中文资料

介绍MC34063的技术指标,和升降压计算

2010-09-15

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除