自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(128)
  • 资源 (6)
  • 问答 (1)
  • 收藏
  • 关注

原创 【MATLAB】“元胞数组cell”使用的总结

元胞数组里面可以放不同的任何格式的数据,比如结构体、字符串、数组矩阵、再套一层元胞数组也可以,反正是很方便,包罗万象。MATLAB中有一种特别好的数据格式,就是元胞数组cell.来废话一句,时间宝贵,以后尽量把文章写简单吧。整理时间:2022-11-11。1.元胞数组的初始化、建立。3.元胞数组的内容获取。

2022-11-11 01:55:03 4220 3

原创 【MATLAB appdesigner】27_如何在appdesigner中调试,查看变量?(举例+技巧)

中,经常需要获取变量的过程数值,以便判断过程中的结果是否正确,用于一步步的代码调试与验证。1)将数据打印出来,仅限于小的数据量以及过程状态标志打印。3)将数据结果保存值mat文件或别的txt等文件。1.如何在appdesigner中调试,查看变量。2)将数据打印至textArea,之前文章讲过。c)查看变量(想看什么看什么~~)写作时间2022-05-04。a)加入断点,点击运行。.........

2022-07-18 17:30:28 6355 2

原创 【图像传感器】相关双采样CDS

创作时间:2022-06-16相关双采样的原理相关双采样(Correlated Double Sample, CDS) 用于图像传感器。 分别采样输出信号的两个电平,即一次是对复位电平进行采样,另一次是对信号电平进行采样)。若在光电信号的积分开始时刻t1和积分结束时刻t2,分别对输出信号采样(在一个信号输出周期内,产生两个采样脉冲, 分别采样输出信号的两个电平,即一次是对“复位电平”进行采样,另一次是对“信号电平”进行采样)。,并且使得两次采样时间之间的间隔远小于时间常数CRon(Ron为复位管的导通

2022-06-16 14:46:20 6639 3

原创 【MATLAB】教你用matlab做“文字云(wordcloud)”?可以的

教你用matlab做“文字云(wordcloud)”?可以的

2022-05-13 20:29:26 1765

原创 【红外技术】非均匀校正之两点校正(原理&标定方法&MATLAB代码&效果)

非均匀校正之两点校正(原理&MATLAB代码&效果)红外焦平面的响应非均匀性,其主要由焦平面制造的工艺,材料原因造成。因此,图像处理之前,需要进行预处理,包括非均匀校正和盲元校正。非均匀校正的一种方法就是两点校正。前提条件:1)假设各个像元的影响都是线性的2)忽略1/f电流噪声**实验室标定**准备:黑体,镜头(可加可不加),电源,线缆等步骤:1) 固定一个积分时间2) 将黑体设置为20℃,用采集卡连续保存100张raw3) 将黑体设置为35℃,用采集卡连续保存100张raw

2022-05-06 22:45:00 6401 14

原创 【MATLAB appdesigner】26_如何实现”自编matlab串口助手”的“自动接收”?

写作时间:2022-05-05如何实现”自编matlab串口助手”的“自动接收”?版权声明:以下内容为本人原创,未经本人同意,不可随意转载等。串口通信的自动接收实际中,接收是被动的,我们大多数是不知道对方串口是何时给我方串口发送数据。而发送是主动的,所以接收相对于串口的发送的难度较大。如何实现串口的自动接收,这里我们借助“字节可用”事件。1)“字节可用”事件在输入缓冲区中有预定数量的字节可用或读取到终止符(具体由BytesAvailableFcnMode 属性确定)时,会立即生成“字节可用”事

2022-05-05 23:30:00 3181 3

原创 【MATLAB appdesigner】25_如何设置数字显示区的“显示精度”?

【MATLAB appdesigner】第25课_控件的数字显示精度如何设置?常用的数字显示区有:editfiled、uitable等控件。那如何设置数字显示区的“显示精度”?

2022-05-04 22:46:46 3860 1

原创 【MATLAB appdesigner】24_巧用dropdown组件(附:个人调试技巧)

第一套matlab appdesigner教程,本文讲述 dropdown 组件的使用,以及如何“避坑”

2022-04-30 16:19:17 3231 1

原创 【MATLAB appdesigner】23_如何通过回调函数来实现“键盘操作”?

写作时间:2022-04-30标题:23_界面美化_如何通过回调函数来实现“键盘操作”?本文目录:1.小试牛刀2.正式开学3.深入正文:1.小试牛刀在实际使用中,我们可能会不断的点击鼠标来使用button控件,但是这个很麻烦也很累,我们如果能够直接在键盘上控制就好了,这样会方便很多。那么,怎么做呢?先从下面这个代码开始了解:%测试键盘按键值function key_testclcclose all%%fig = figure(1);spy;%显示matlab狗set(

2022-04-30 16:17:22 2621 1

原创 【MATLAB appdesigner】22_界面美化_如何添加“图标icon“?

创作时间:2022-04-12目录:1.为什么别人的界面那么好看!而你的。。。2.手把手教你怎么搞。(代码+举例说明)正文:1.为什么别人的界面那么好看!而你的。。。 这是你的。 以下是别人的界面。 这差距也太大了吧!!!2.手把手教你怎么搞。(代码+举例说明)搭建好架子后,比如架子如下:然后3步走即可。1)设属性2)写“刷日志的函数”3)调用就行好了,且看我一一道来。1)设属性在appdesigner中设置一个公有属性,不妨命名为:StrArray2)写“

2022-04-12 16:16:20 7540 5

原创 【MATLAB】使用“蒙特卡罗(Monter Carlo)”算法计算不规则图形的面积

使用“蒙特卡罗(Monter Carlo)”算法计算不规则图形的面积

2022-03-31 21:30:00 7708 1

原创 【HISI调试】hisi PQtool开机报错,提示无dll,找不到插件,比如:ISP calibration Tool等

【HISI调试】hisi PQtool开机报错,提示无dll,找不到插件,比如:ISP calibration Tool等

2022-03-28 11:17:37 2217 2

原创 【MATLAB】could not find version 9.0.1of MATLAB Runtime怎么解决

could not find version xx of MATLAB runtime

2022-03-22 01:00:00 7687 5

原创 【MATLAB】图像批处理:批量读取图像—>批量处理—>批量写入文件夹

【MATLAB】图像批处理:批量读取图像—>批量处理—>批量写入文件夹

2022-03-14 11:15:53 11725 3

原创 【MATLAB appdesigner】21_手把手教你在TextArea中刷新日志(超级酷又巧妙的玩法)

创作时间:2022-01-05目录:1.在TextArea中刷新日志有多么酷!2.手把手教你怎么搞。(代码+举例说明)正文:1.在TextArea中刷新日志有多么酷! 在TextArea中刷新日志,有以下几个作用: 1)可以打印过程数据,方便观看 2)很重要的一点,就是可以将你的控件操作日志输出(打印)在文本区域中,这样方便操作者观察是否进行或者执行了“哪些操作”2.手把手教你怎么搞。(代码+举例说明)搭建好架子后,比如架子如下:然后3步走即可。1)设属性2)写“刷日志的函数”

2022-01-06 00:07:13 4334 14

原创 【MATLAB appdesigner】20_UIAxes中绘图以及操作汇总(良心总结,值得收藏)

创作时间:2022-01-05目录:1.问题引入(UIAxes中绘图)2.各种操作的代码(举例说明)正文:1.问题引入(UIAxes中绘图) 在matlab 的appdesginer设计过程中,经常要考虑如何在绘图区操作。这和在figure中绘图,有些不同,新手很容易在此犯迷糊,不知道怎么操作。这篇文章的目的:1) 对matlab appdesginer设计中的UIAxes各种操作做梳理2)彻底让新手掌握,以后不迷糊,不迷路。 注:本文值得收藏,有问题的时候,可以翻出来看看,就像“查字典

2022-01-05 14:28:20 13512 4

原创 【MATLAB appdesigner】19_文件操作,将数据保存到txt中

创作时间:2022-01-04目录:1.问题引入2.代码(举例说明)正文:1.问题引入在app的设计中,经常需要把一些过程数据和结果数据输出,有些数据量小,可以放在空间中。一般出于两个目的,会考虑把这些数据保存在文件之中。1)这些数据量很大,在控件中显示很不方便2)这些数据需要保存一下,以便下次查看,或者这些数据被再次的读入与使用,放在数据文件夹中非常方便。2.代码(举例说明)代码如下:fid=fopen('bplist.txt' ,'w');fprintf(fid,'%s\r\n

2022-01-04 16:48:23 5621 1

原创 【MATLAB appdesigner】18_一个值得学习的好例程

创作时间:2022-01-04目录:1.问题引入2.举例说明正文:1.问题引入编写完app,点击运行,发现界面太大,无法缩小。好不容易把整个界面缩小了,但是发现里面的组件大小没有跟着缩小,那么 怎么让界面缩小的同时,也缩小每个组件的大小呢?我们这节课,学习1)如何使用“网络布局”?2)测试应用网络布局后,可以满足 界面放大缩小与组件放大缩小的同步 。2.举例说明1)没有使用“网络布局”THE END~【MATLAB-app】系列教程(含视频)00_csdn上第一套关于ma

2022-01-04 15:04:41 2931

原创 【MATLAB appdesigner】17_appdesigner中网络布局的使用(实用技能)

创作时间:2021-09-17目录:1.问题引入2.举例说明正文:1.问题引入2.举例说明THE END~

2021-09-18 16:51:53 3172 1

原创 【MATLAB】读raw(float32)、txt 转 raw

创作时间:2021-09-01MATLAB 读raw(float32)代码如下:pathname="C:\Users\M02269\Desktop\G0505RF_DM_20702F0_0615";filename="0615_X000Y000_sat_Mean_32Bit_5377_5120_470_2_4_5120.raw";pathfilename=fullfile(pathname,filename);fid=fopen(pathfilename,'rb');A=fread(fid,[

2021-09-01 14:54:45 1675

原创 【FPGA】vivado Error:‘launch_simulation‘failed due to earlier errors.

vivado Error:‘launch_simulation‘failed due to earlier errors.

2021-08-18 19:16:46 6490

原创 【FPGA】Verilog中generate用法

创作时间:2021-08-181.一些说明:generate循环的语法与for循环语句的语法很相似。但是在使用时必须先在genvar声明中声明循环中使用的索引变量名,然后才能使用它。genvar声明的索引变量被用作整数用来判断generate循环。genvar声明可以是generate结构的内部或外部区域,并且相同的循环索引变量可以在多个generate循环中,只要这些环不嵌套。genvar只有在建模的时候才会出现,在仿真时就已经消失了。2.举个例子,一看就明了1)代码design fil

2021-08-18 15:33:13 3289

原创 [Common 17-69] Command failed: This design contains one or more cells for which bitstream -12

查了一下网站,这个问题应该是 axi_ethernet_0/inst/mac/inst/tri_mode_ethernet_mac_i。,到邮箱里下载lic,然后在license manager 里面重现加载lic.这个IP没有授权,可以综合,但是不能生成bit 文件。重新设置后,发现还是不能生成bit ,仔细。检查license状态,一看,发现过期了。创作时间:2021-07-12。重新设置license。

2021-07-12 19:57:13 13530 13

原创 MicroBlaze小试02-GPIO点灯(详细,适合初学者)

它将系统上层软件和底层硬件分离开来,使系统上层软件开发人员无需关系底层硬件的具体情况,根据BSP层提供的接口开发即可。BSP是相对于操作系统而言的,不同的操作系统有不同定义形式的BSP,要求BSP所实现的功能也有所不同。先create HDL wrapper,然后在顶层文件中,看看管脚说明,再进行约束,这样很简单快捷。按下按键,4个指示灯全亮,松开按键,4个指示灯全灭。step2:生成bit,导出硬件,加载SDK。好了,硬件台子搭建完了,开始软件唱戏了。做事情之前,先确定一下我们的目标。

2021-07-08 15:33:45 1932

原创 【FPGA】MicroBlaze小试01-串口输出Hello World(demo,熟悉开发流程)

MicroBlaze小试01-串口输出Hello World(超详细,适合初学者)

2021-07-08 13:39:05 1884 1

原创 【硬件】PIC32单片机烧写器以及线序说明

写作时间:2021-07-02。如下图,MCU原理图详见附件。

2021-07-02 09:55:22 2448 2

原创 【MATLAB appdesigner】16_appdesigner中函数句柄“@“的特别提示(避坑,宝贵经验)

创作时间:2021-06-28在编写app 中,涉及到使用函数句柄。先说说函数句柄,再说在MATLAB appdesigner中函数句柄的一些注意事项。1.函数句柄2.MATLAB appdesigner中函数句柄的一些注意事项THE END~

2021-06-28 14:57:13 1744 3

原创 【MATLAB】连续raw拆分为单张raw数据序列

创作时间:2021-06-23THE END~

2021-06-23 17:37:04 401

原创 【MATLAB】matlab批量给m文件加密为p文件

1)先建一个测试函数my_func1。2)输入命令,进行pcode加密。创作时间:2021-06-18。3)加密后的文件,为p文件。

2021-06-18 14:30:26 4306

原创 【FPGA】error:Syntax error near “non-printable “ character with hex value “0xa3“

创作时间:2021-06-11【FPGA】error:Syntax error near "non-printable " character with hex value “0xa3”这个问题,一看就是语法错误,有非法字符。不容易被察觉,仔细查看后,发现是小括号 “(” 的中英文 区别。这个确实不容易发现,吃一暂长一智。修改下:好了,不报错了。THE END~...

2021-06-11 16:33:37 727

原创 【MATLAB】fwrite的坑

创作时间:2021-06-10MATLAB是不让用户往C盘写文件的,所以fwrite 写入不成功,换个路径就好了。切记THE END~

2021-06-10 10:27:34 871

原创 【FPGA】[VRFC 10-3236] concurrent assignment to a non-net ‘data_out’ is not permitted

写作时间:2021-06-01报错如下: [VRFC 10-3236] concurrent assignment to a non-net ‘data_out’ is not permitted [“F:/fpgaWork/project_test_gamma/project_test_gamma.srcs/sources_1/new/test_coe.v”:82] 解决方法:这种问题,大多数是因为变量的wire 或reg 类型不匹配引起的。主要有两种:1)源文件调用(li’hua)别的模块时

2021-06-01 09:52:27 5242

原创 微型摄像头的CDS读出电路原理

分读出是微型摄像头最常用的CDS 电路结构形式之一,如图5. 3 所示。图中的像素是一个最基本的3T- APS 光电传感器,如前面几篇所述,它与同一列像素共享一个列输出总线。这一列像素的所有信号输出源极跟随器通过总线共享一个共同负载电流源Tsfld,电流源的电流值由模拟偏置电压Vb 控制,并共享一个列通道CDS模拟信号处理电路。在微型摄像头的这个CDS 电路中,有两个模拟开关TS1 和TS2 分别作为第一次取样和第二次取样的开关;两次取样的模拟信号电压被分别保持在电容CS1 和CS2 上,实现两次相关模拟

2021-05-13 22:11:53 4112 1

原创 【MATLAB appdesigner】15_不同app界面之间的数据传递(2)(有实例,超详细!)

4.点击子界面Button控件 (名为ok),参数传递到主界面,并进行主界面绘图区的图形更新,此时再次打开Button 控件的使能;3.在子界面中editfield 控件(名为sample size 和color)中输入参数sample size和color;2.点击主界面的Button控件 (名为Options),弹出对话框界面,与此同时,关闭Button 控件的使能;该demo包括两个界面,主界面和对话框界面。主界面用于绘图,对话框界面用于输入参数。2.1 功能模块分解。2.子界面中输入参数。

2021-04-19 21:00:08 15889 16

原创 【MATLAB appdesigner】14_app界面“运行”小技巧总结(非常干)

我打算分多篇文章将这里讲述清楚,继续延续我的写作风格,使用通俗易懂的语言,把复杂问题简单化。卖个关子,先学习,到下一篇文章,你就彻底明白了我的用意深刻啦,哈哈~~这很重要,虽然你可能现在还不知道其作用,下一篇文章看完,你自然就懂。前面只是抛砖,那么我想运行带有参数赋初值的app,怎么办呢?我们采用第二种运行方法,另外我们需要添加加参数的赋值,我们发现,第一种运行方法已经不可以了。在命令窗口,直接输入app的文件名。创作时间:2021-04-19。1.打开app的方法1。2.打开app的方法2。

2021-04-19 17:44:13 6716 1

原创 【MATLAB appdesigner】13_如何实现多个app界面的交互(1)(有实例,包含demo代码)

创作时间:2021-04-09Readme:找到这个系列专栏,说明您已经是一名使用appdesigner 设计的工程师了,很高兴与您相遇。如果您是一名初学者,我建议您可以先学习我之前出的7节视频课程,视频,可以帮助您快速上手并实践编写app。【MATLAB-app】系列教程(含视频)第0课_csdn上第一套关于matlab appdesigner系列“视频课”来啦~~如果您已经入门了appdesigner 设计,强烈建议您跟我的博客内容学习一遍。跟着我系统的学习,appdesigner的设计思想,

2021-04-09 18:19:44 13825 21

原创 【MATLAB appdesigner】12_如何实现对“土掉渣的uifigure界面”进行“重新命名”?

创作时间:2021-04-07Readme:找到这个系列专栏,说明您已经是一名使用appdesigner 设计的工程师了,很高兴与您相遇。如果您是一个初学者,我建议您可以先学习我之前出的7节视频课程,视频,可以帮助您快速上手并实践编写app。【MATLAB-app】系列教程(含视频)第0课_csdn上第一套关于matlab appdesigner系列“视频课”来啦~~正文:本文目录:1.问题:如何整个界面的的命名?2.方法:怎么做(结合实例)正文:1.问题:如何整个界面的的命名?在我们

2021-04-09 12:39:25 3344 1

原创 【MATLAB appdesigner】11_如何在界面输出提示、警告、报错等信息?

创作时间:2021-04-07Readme:找到这个系列专栏,说明您已经是一名使用appdesigner 设计的工程师了,很高兴与您相遇。如果您是一个初学者,我建议您可以先学习我之前出的7节视频课程,视频,可以帮助您快速上手并实践编写app。【MATLAB-app】系列教程(含视频)第0课_csdn上第一套关于matlab appdesigner系列“视频课”来啦~~正文:本文目录:1.如何输出提示、警告、报错等信息?2.介绍一个强大的函数uialert3.怎么做(结合实例)正文:1.

2021-04-07 21:04:06 6834 9

原创 【verilog】vivado警告:constrast value is trancated to fit in....

写作时间:2021-03-20warining:constrast value is trancated to fit in…现场情况如图:拯救计划:先查了下“ trancated ”的意思。”

2021-03-20 11:07:28 4556

原创 【verilog】vivado报错:verilog syntax error near reg

写作时间:2021-03-19错误如下:怎么查,这句代码没有问题。查上一行代码,找到问题所在。上面代码例化完,忘记加分号“;”。加完分号,OK~吸取教训,前车之鉴,不可重蹈覆辙。THE END~...

2021-03-19 10:21:39 5958 1

matlab appdesigner-串口助手界面-自编源代码

A serial communication assistant is designed using MATLAB appdesigner. This version is v1.00, just a simple demo, and will be updated and improved in the future. Features include: 1)Creation of serial port 2) Reception and automatic reception of serial communication 3) Serial communication sending 4) Delete the serial port etc. Thank you all~

2023-03-09

code for matlab appdesigner demos01-demo计算器s02-demo简易的图像处理软件

免费,代码,code for matlab appdesigner demo s01_demo计算器 s02_demo简易的图像处理软件 更多demo,后续不断上传

2023-03-09

《icon图标数据》为课程配套资料【MATLAB appdesigner】22-界面美化-如何添加图标icon

《icon图标数据》为课程配套资料 【MATLAB appdesigner】22_界面美化_如何添加“图标icon“https://blog.csdn.net/hahahahhahha/article/details/124126579 更多资料: 【MATLAB-app】csdn上第一套关于matlab appdesigner界面设计 系列“视频课”来啦~~ https://blog.csdn.net/hahahahhahha/article/details/111024726

2022-04-19

MadEdit.rar

看二进制数据的好工具

2021-06-30

【MATLAB代码】双边滤波matlab实现源码

双边滤波matlab实现源码 % Filename : bialteralFitler_func.m % Author : Mario爱吃大虾 % Description : 双边滤波(图像处理中常用的一种保边滤波) % 输入:图像A(灰度图像) % 参数窗口大小(2*w+1), % 空域sigma_s, % 值域sigma_r % 输出:图像B % Revision : 2021/01/10 % *********************************************************

2021-01-11

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除