自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(3)
  • 资源 (32)
  • 收藏
  • 关注

原创 电脑非正常关机后无法启动VMware虚拟机的解决方法

VMware是常用的虚拟机工具,功能强大,颇受广大用户的喜爱,而用户可以在虚拟机中运行不同版本的操作系统。有用户在意外断电或非正常关机出现VMware虚拟机无法启动的情况,接下来让我们看看如何解决这一问题。请按照下面的流程:  1、点击关闭退出该窗口,在文件夹中找到扩展名为“lck”的文件或文件夹,并全部删除;  2、再次打开VMware,开启虚拟机;3、如果不能开启VMware,关闭VMware程序显示繁忙。重启电脑,删除lck文件。4、把之前备份的vmx文件替换为当前使用的vmx文件。这么做的

2020-10-10 14:20:08 2835

原创 HI3518ev200 v1.0.4在ubuntu 16.0.4下SDK的编译

HI3518ev200 v1.0.4在ubuntu 16.0.4下SDK的编译问题1mkfs.ubifs/hashtable/hashtable_itr.c:42:1: error: redefinition of ‘hashtable_iterator_key’解决方法:mtd-utils_1.5.0.tar.bz2 库的问题,大家可以更新到 mtd-utils_1.5.2.tar.bz2 重新编译就可以了;(1)下载文件:mtd-utils_1.5.2.tar.bz2的下载地址:http

2020-08-29 15:41:17 162

原创 解决虚拟机VMware上Ubuntu 16.04的VMWare Tools菜单灰色无法与主机windows 10之间互相复制与粘贴,Ubuntu屏幕分辨率设置问题!

实现虚拟机VMware上Ubuntu与主机windows之间互相复制与粘贴刚刚安装的Ubuntu 16.041:更新Ubuntu(刚装的系统不全)sudo apt-get update2:安装 vmware tools简单解决:sudo apt install open-vm-toolssudo apt install open-vm-tools-desktop...

2020-07-16 14:56:16 645

PCI_Express_M.2_Specification_Rev1.1

PCI_Express_M.2_Specification_Rev1.1

2022-05-23

龙芯3210开发板的原理图

龙芯soc3210的开发板的原理图,应用此开发板,已经量产产品

2022-05-23

带数字签名Virtual USB Multikey64bit driver - Signed by Leshcatlabs.rar

可以安装Virtual USB Multikey 带有数字签名Win10

2021-05-29

USB1.0~3.2全版本协议及相关文档.7z

USB1.0~3.2全版本协议及相关文档.7z

2020-08-18

001-91445_AN91445_Antenna_Design_and_RF_Layout_Guidelines.pdf

射频天线设计及pcb布线指导!里面有详细的天线布线设计/射频匹配过程 ,还不错

2020-05-14

boost电路的matlab仿真

matlab闭环仿真DC2DC电路boost电路,m

2020-03-22

杨继深教授讲稿.rar

电磁兼容培训胶片(地线干扰与对策).ppt 电磁兼容培训胶片(屏蔽).ppt 电磁兼容培训胶片(滤波).ppt 电磁兼容培训胶片(电缆).ppt 电磁兼容培训胶片(瞬态).ppt 电磁兼容培训胶片(线路板设计).ppt 电磁兼容培训胶片(要求、试验与概念).ppt

2020-03-17

dgldpull.pdf

ADS介绍负载牵引的文章ADS介绍负载牵引的文章ADS介绍负载牵引的文章ADS介绍负载牵引的文章ADS介绍负载牵引的文章

2020-01-05

ADS2019U1-RFPCB.rar

在射频电路设计中,工程师需要完成大量的匹配工作。而电路匹配可以借助ADS进行辅助设计。ADS2019Update1.0中,全新升级的RFPro中,可以方便的将PCB导入,进行匹配通道的仿真与调试。 在本次培训材料中,会进行与匹配相关的四个仿真实验: -前仿真 -实验一: 通过Smith Chart进行匹配架构选择,器件选型 -实验二:使用CILD计算并优化走线与器件 -后仿真 -实验三: 导入ODB++文档 -实验四: 使用RFPro进行快速原理图版图联合仿真 -总结:前仿真与后仿真精度对比 有兴趣的工程师欢迎下载文件参考。 包括以下文件: 1、全新射频匹配流程_ADS2019U1.pdf(教程) 2、PCB.zip(PCB文件) 3、RF_Matching_NewVersion_complete_wrk.7zads.zip(模型文件)

2019-11-20

村田噪声抑制基础教程(EMC).pdf

村田噪声抑制基础教程 图文并茂 6章全

2019-06-26

SIMetrix 8.20a x64.zip.002

imetrix/simplis 是专门针对电源电路开发的仿真软件。本人亲测,可以破解运行(第2部分,由于CSDN限制附件不超过240M,所以分成2个部分)

2019-05-21

运算放大器电路固有噪声的分析与测量

运算放大器电路固有噪声的分析与测量

2019-04-19

模拟电路版图的艺术中文第二版

模拟电路版图的艺术中文第二版

2019-04-14

运算放大器应用技术手册中文完整版

运算放大器应用技术手册 中文完整版 稀缺推荐 共享阅读 共勉!

2019-04-06

模拟电子技术基础(第五版)童诗白、华成英

模拟电子技术基础(第五版)童诗白、华成英,电子版的,清晰,阅读起来方便。

2019-02-09

三星AD电路板设计集成库intlib

Manufacturer: Various (Legacy Libraries) Updated:3+ months agoTags:Legacy Samsung Legacy Library components were last modified May-2006 and were included among the AD10 libraries. Contents: Samsung Electronics Footprints.PcbLib Samsung Memory Dynamic RAM.IntLib Samsung Microprocessor 32-Bit.IntLib ** Please note this content is now legacy and not available in the vault (un-managed). **

2019-01-26

Altera的AD集成封装库AD,Intlib格式

Altera Cyclone IV GX Altera 3+ months ago Arria V Altera 3+ months ago Arria V GZ Altera 3+ months ago Cyclone V Altera 3+ months ago Stratix V Altera 3+ months ago Cyclone V E Altera 3+ months ago Cyclone V GX Altera 3+ months ago Cyclone IV E Altera 3+ months ago MAX V Altera 3+ months ago Stratix IV E Altera 3+ months ago Stratix IV GT Altera 3+ months ago Stratix IV GX Altera 3+ months ago Cyclone Altera 3+ months ago EPC Altera 3+ months ago MAX II Altera 3+ months ago Stratix II Altera 3+ months ago Arria GX Altera 3+ months ago Cyclone II Altera 3+ months ago Cyclone III Altera 3+ months ago Stratix III Altera 3+ months ago Arria II GX Altera 3+ months ago EPCS Altera 3+ months ago Stratix II GX Altera

2019-01-25

Analog Device的AD集成封装库intlib

Clock Generation and Distribution MEMS Inertial Sensors Temperature Sensors Amplifiers and Linear Comparators Amplifiers and Linear Voltage References Audio and Video Video Amps, Buffers and Filters Interface and Isolation Digital Isolators Interface and Isolation Level Translators Interface and Isolation Protection Products Power Management Linear Regulators Power Management Multi-Output Regulators Switches and Multiplexers Analog Crosspoint Switches Switches and Multiplexers Analog Switches Switches and Multiplexers Digital Crosspoint Switches Switches and Multiplexers Multiplexers (Muxes) Data Converters Analog-to-Digital Converters Data Converters Digital-to-Analog Converters Operational Amplifiers RF IF Amplifiers RF IF Attenuators VGAs and Filters RF IF Detectors RF IF Direct Digital Synthesis RF IF Integrated Transceivers Transmitters and Receivers RF IF Mixers and Multipliers RF IF Modulators and Demodulators RF IF PLL Synthesizers and VCOs RF IF Prescalers (Microwave) RF IF Switches RF IF Timing ICs and Clocks

2019-01-25

STMicroelectronics 所有封装集成库intlib格式

STM32 L1 STM32W STM32 F0 STM32 F1 STM32 F3 STM32 F2 STM32 F4 STM8L Sensors & MEMS Power Management Linear Regulator Power Management DC-DC Conversion Power Management Switching Regulator Power Management Voltage Reference

2019-01-25

夏宇闻老师7本书籍和Verilog HDL入门(第3版)一书的随书光盘,包括书中例子原代码和附录部分

1:Verilog HDL数字设计与综合 夏宇闻译(第二版).pdf 2:Verilog+HDL入门.rarVerilog HDL入门(第3版)一书的随书光盘,包括书中例子原代码和附录部分 3:Verilog数字系统设计教程 第3版.pdf 4:Verilog数字系统设计教程(第2版).pdf 5:Verilog数字系统设计教程(第二版) 夏宇闻.pdf 6:_Verilog HDL入门 第三版.pdf 7:《Verilog+HDL实验练习与语法手册》-夏宇闻.pdf 8:夏宇闻-Verilog经典教程.pdf

2019-01-06

现代控制系统 第十二版 中文

现代控制系统 第12版_(美)RECHARD C.DORF,ROBERT H.BISHOP著;谢红卫,孙志强,宫二玲,经纪阳译

2018-12-06

射频电路设计--理论与应用_matlab程序

射频电路设计--理论与应用_matlab程序 本书分析了普通低频电路和元件当工作频率升高到射频波段(通常指30 MHz ~ 4 GHz)时所遇到的困难和解决办法,并重点讨论了TEM(横电磁)波的传输特性及用微带线制成的各种射频器件的原理和方法。在内容安排上,本书力图让尚未系统学习过电磁场理论的电子类学科学生和工程技术人员也能了解和掌握射频电路的基本设计方法和原则。全书共分10章,前4章介绍射频传输的特点、传输线基本原理及作为射频和微波分析工具的Smith圆图、网络参量和信号流图;后6章介绍各种无源和有源射频器件(包括:滤波器、匹配网络、高频半导体器件、放大器、混频器和振荡器)的原理分析和设计方法。书中列举了大量具有实际应用价值的例题,并以较大篇幅介绍了它们的求解方法。作者还利用MATLAB数学工具软件,开发了相当数量的与本书所包含的内容和课题有关的模拟或解题软件供读者使用。 本书可以作为通信、电子类学科学生的教材或参考书。对于现已在通信、计算机及微电子等领域从事射频及微波电路设计的工程师们,这也是一本很好的参考书。

2018-08-19

ZendStudio13.6.0破解文件+注册码.zip

ZendStudio13.6.0破解文件+注册码,拷贝jar文件到plugins目录下,注意如果你所使用的版本高于13.6.0时目录下会有类似与com.zend.verifier_13.6.x.xxxxx.jar的文件,需要改一下这个文件名字位其他的名字,否则zend studio会找最新的jar文件

2017-09-12

Java编程思想第四版.pdf

Java编程思想第四版.pdf 好书一本

2013-05-11

java jar包

activation antlr-3.3 axis-ant axis chardet commons-beanutils-1.8.0-javadoc commons-beanutils-1.8.0-sources commons-beanutils-1.8.0 commons-beanutils-bean-collections-1.8.0 commons-beanutils-core-1.8.0 commons-betwixt-0.8 commons-cli-1.1 commons-codec-1.3 commons-codec-1.4 commons-collections-3.2.1-javadoc commons-collections-3.2.1-sources commons-collections-3.2.1 commons-collections-testframework-3.2.1 commons-digester-1.8 commons-discovery-0.2 commons-discovery-0.4 commons-email-1.1-javadoc commons-email-1.1-sources commons-email-1.1 commons-fileupload-1.2.1-javadoc commons-fileupload-1.2.1-sources commons-fileupload-1.2.1 commons-httpclient-3.1 commons-io-1.4-javadoc commons-io-1.4-sources commons-io-1.4 commons-lang-2.4-javadoc commons-lang-2.4-sources commons-lang-2.4 commons-logging-1.0.4 commons-logging-1.1.1 commons-logging-1.1 commons-net-1.4.1 cpdetector_1.0.7 http-2.2.1 httpclient-4.1.2 httpclient-cache-4.1.2 httpcore-4.1.2 httpmime-4.1.2 jaxrpc jsoup-1.6.1 log4j-1.2.16 log4j-1.2.8 log4j.propertiesmail mysql-connector-java-5.1.17-bin saaj wsdl4j-1.5.1 filterbuilder htmllexer htmlparser junit sax2 thumbelina whl

2013-03-21

[Java开发实战经典(名师讲坛)].李兴华.扫描版.pdf

[Java开发实战经典(名师讲坛)].李兴华.扫描版.pdf

2012-11-30

《非接触式IC卡通讯协议执行规范》及编制说明

《非接触式IC卡通讯协议执行规范》及编制说明 国内定义的非接触式规范

2010-12-17

RC531 规格书,读卡芯片

Mifare读卡芯片规格书RC531,读卡芯片

2009-12-17

Linux操作系统下的GCC中文手册

Linux操作系统下的GCC中文手册,gcc指令一览

2009-08-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除