自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(3)
  • 资源 (2)
  • 收藏
  • 关注

原创 vivado 安装出现Unable To Load Installation Data弹框错误

从百度网盘下载的Xilinx_Vivado_SDK_2019.1_0524_1430安装包,运行xsetup.exe安装程序后,弹出如下对话框:具体原因不清楚,可能是下载过程种文件出现损坏或者不完整导致。 重新从Xilinx官网: www.xilinx.com 注册账号,下载安装包。官网下载速度没有限制,百度网盘还充了钱。从官网下载后,成功安装。...

2021-07-21 09:27:15 2077

原创 AD7606调试踩过的坑(数据获取错误)

原来用51单片机作为主控芯片,由于功能拓展,资源无法满足要求,更换为stm32单片机。替换后数据转换出错且没有规律。各种查时序、测电压,结果都正常。后面用示波器测试51主板和stm32z

2021-06-03 14:52:22 3609 1

原创 FPGA自学之路1--软件没有USB Blaster选项

自学之路必然是一条苦逼的道路,然苦中有乐,不去经历,永远体会不到过程的酸甜苦辣。从淘宝淘到开发板之后,浏览了一遍资料,然后开始了我的FPGA之旅。安装好所有的软件、驱动之后,开始烧录第一个helloworld式的程序—流水灯,程序正常运行。暗自庆幸,这开头路挺顺利。第二日,工作之余,重新捣腾起了开发板。这尼玛就是一个坑爹的问题,折腾了小爷我两三天才弄好。在Hardward Setup下面始终无

2015-12-03 22:47:06 3450

嵌入式面试题

嵌入式面试最常用的307个题目和答案。。。。。。。。。。。。

2018-05-24

PCB设计经验

PCB设计经验之谈,画板布线要注意的事项。

2014-10-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除