自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(16)
  • 资源 (4)
  • 收藏
  • 关注

转载 是电工的都应该知道的一些常识

三相五线制用颜色黄、绿、红、淡蓝色分别表示U、V、W、N 保护接地线双颜色(PE)变压器在运行中,变压器各相电流不应超过额定电流;最大不平衡电流不得超过额定电流的25%。变压器投入运行后应定期进行检修。同一台变压器供电的系统中,不宜保护接地和保护接零混用。电压互感器二次线圈的额定电压一般为100V。电压互感器的二次侧在工作时不得短路。因短路时将产生很大的短路电流,有可能烧坏互感器,

2011-10-22 10:39:37 1420

转载 110kV级电力变压器系列技术参数:

110kV级电力变压器系列技术参数: S10系列三绕组无励磁调压电力变压器产品技术参数S10系列双绕组无励磁调压电力变压器产品技术参数S10系列三绕组有载调压电力变压器产品技术参数SZ10系列双绕组有载调压电力变压器产品技术参数S10系列三绕组无励磁调压电力变压器产品技术参数返回页首

2011-10-22 10:35:58 23837

转载 110kV变电站电气一次系统设计

110kV变电站电气一次系统设计一、选题意义随着国民经济的发展和人民生活水平的提高,用户对供电质量的要求日益提高。国家提出了加快城网和农网建设及改造、拉动内需的发展计划[1]。变电站是电力系统中变换电压、接受和分配电能、控制电力的流向和调整电压的电力设施,它通过其变压器将各级电压的电网联系起来,在电力系统中起着至关重要的作用。近年来110kV变电站的建设迅猛发展。科学的变电站设计方

2011-10-22 10:34:17 7979

转载 110KV降压变电所电气一次部分及防雷保护设计

110KV降压变电所电气一次部分及防雷保护设计1 设计说明1.1 环境条件⑴ 变电站地处坡地⑵ 土壤电阻率ρ=1.79*10000Ω/cm2⑶ 温度最高平均气温+33℃,年最高气温40℃,土壤温度+15℃⑷ 海拔1500m⑸ 污染程度:轻级⑹ 年雷暴日数:40日/年1.2 电力系统情况⑴ 系统供电到110kv母

2011-10-22 10:32:29 5503 2

原创 110KV/35KV/10KV富源变电站一次系统设计

设计题目:110KV/35KV/10KV富源变电站一次系统设计原始资料:(1)110KV进线3回,从系统110KV输电线直接引接;35KV出线8回,采用成套配电装置;10KV出线14回,采用成套配电装置。(2)主变压器三台:容量均为50MVA,年最大负荷利用小时数均为6000h;电压等级为110KV/38.5KV/11KV;(3)系统短路容量(根据市局计划处调度所的资料):变电站1

2011-10-22 10:28:22 4502

原创 【转载】FIR滤波器常见问题解答之基础篇

【转载】FIR滤波器常见问题解答之基础篇【转载】FIR滤波器常见问题解答之基础篇原文链接:    http://www.dspguru.com/dsp/faqs/fir/basics 1.1 什么是FIR滤波器?  FIR 滤波器是在数字信号处理(DSP)中经常使用的两种基本的滤

2011-07-21 14:44:44 1477

原创 nios下载时各种无语问题—第一个

nios2-terminal: connected to hardware target using JTAG UART on cablenios2-terminal: "USB-Blaster [USB-0]", device 1, instance 0nios2-terminal: (Use the IDE stop button or Ctrl-C to terminate)  

2011-06-12 19:47:00 1199 2

原创 乒乓球游戏源程序

---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13:27:54 08/18/2010 -- Design Name: -- Module Name: pingpang - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -

2010-08-19 21:36:00 916

原创 宏模块的简单使用

<br />简单计数移向模块<br />LIBRARY IEEE;<br />USE IEEE.STD_LOGIC_1164.ALL;<br />use ieee.std_logic_unsigned.all;   --invold '+'  '-'<br />use ieee.std_logic_arith.all;      --  invold * mul   unsigned<br />ENTITY   phase3   IS<br /> PORT(  wave1,wave2,clk  :IN ST

2010-07-31 22:48:00 1119 2

原创 今天悲剧了,电路板烧了!

<br />      今天晚上悲剧了,调试了好几天的程序终于差不多了,于是就把键盘、液晶、双da、直流稳压电源、示波器等综合连接测试,连接好电路后我还检查了一遍连接情况,一切正常,烧好程序后开始调试,结果正弦波的频率和幅值都很听话,用键盘输入多少就是多少,相位可就没那么听话了,让她偏移180°可是他偏偏就偏移90° ,偏移0°就知道为什么了,原来两路正弦波本身就不是同相位,应该是计算移向的模块还不完善,正在调试着相位,突然,示波器波形变为一条直线了,立即回头来查看线路,一抹芯片很烫,紧接着还没等我关上开关

2010-07-27 23:27:00 3104 1

原创 宏模块使用问题

今天中午修改了半天相位测量模块  ,乘除法的宏模块经几个clk时钟延迟所存后,输出数据怎么也不会用了,google了点资料,有人说用状态机,可是还是没有修改好,波形仿真cnt、cnt1、cnt2数据正常  ,经过乘除宏模块后quo就不行了,真的很无语!LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use ieee.std_logic_unsigned.all;   --invold '+'  '-'use ieee.std_logic_arith.all;      --

2010-07-27 11:36:00 566

原创 在高频信号中检测低频信号的上升沿和下降沿

<br />SIGNAL save1   : std_logic:='0';<br />SIGNAL save2   : std_logic:='0';<br /> <br /><br />p1:PROCESS(wave1,wave2 ,c0  )<br />BEGIN<br />if locked='1' then <br /> if c0'event and c0='1' then   --高频信号c0<br />    cnt<=cnt+1;<br />    <br />   if (save2 x

2010-07-27 11:28:00 1180

原创 4x8c液晶加不同键盘按键控制不同输出显示

--不同键盘控制不同输出显示--自动扫描重新显示library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity inandout2 is port(clk,reset:in std_logic;       led:in std_logic_vector(3 downto 0);       RW,

2010-05-22 00:28:00 973 1

原创 4x8c液晶汉字加字符全屏显示

--全屏显示--汉字加字符   oklibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ziandzifu is--generic(Divide100K:integer:=10000);port(clk,reset:in std_logic;       RW,RS:out std_

2010-05-22 00:23:00 844 1

原创 4x8c液晶连续四行显示

--连续四行显示   oklibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity l12864 isport(clk,reset:in std_logic;       RW,RS:out std_logic;           E:buffer std_logic;        

2010-05-22 00:18:00 1369 1

转载 VHDL不同数据类型之间的转换

在VHDL程序中,不同类型的对象不能代入,因此要进行类型转换.类型转换的方法有:(1)类型标记法.用类型名称来实现关系密切的标量类型之间的转换.例如: VARIABLE x:INTEGER;VARIABLE y:REAL;使用类型标记(即类型名)实现类型转换时,可采用赋值语句:x :=INTEGER(y); y :=REAL(x).(2)类型函数法.VHDL程序包中提供了多种转换函数,使得某些类型

2010-05-05 19:49:00 2678 1

X-CTU_支持Win7

X-CTU软件,支持Win7,zigbee调试必备软件

2013-10-21

X-CTU调试说明文档

X-CTU调试说明文档,用于zigbee调试

2013-10-21

频率合成技术

频率合成器主要技术要求、直接式频率合成器、锁相式频率合成器

2013-10-21

ad9850-并行-C51.rar

ad9850-并行-C51 串并行模式测试程序,简单实用

2013-10-21

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除