自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

duojinian的专栏

职场生活随笔

  • 博客(49)
  • 资源 (22)
  • 收藏
  • 关注

原创 高频天线识别盲区的处理方法之一

对于直接匹配天线系统设计来说,提高发射功率一定程度上会增加读卡距离。但是过强的发射功率对解码电路来说未必是件好事情,会造成解码误码率提高,导致盲区出现。具体减少盲区的方法,每个人都会有自己的调试心的。这里简单从匹配电路上入手,谈一下自己个浅见: 适当增大匹配电容,会收到一些改善盲区的效果。这一点,在某些射频IC提供商的官方资料上很少见到。至少我没有看到,不敢妄下定论。请理解个人见识短浅

2011-11-08 08:20:37 1441

原创 在CSDN洗手

我本知识匮乏。只是在CSDN学习大家的经验教训。受益匪浅。为了下载资料,曾各个栏目灌水。不能帮助各位。实在惭愧。今天在此告诉各位。我今后不在CSDN灌水。以提高各个栏目回复的质量。谢谢各位几年来的帮助。矿泉水洗手。谢谢。今后我会在bbs.fob......浪迹天涯。有缘再相会。最

2011-07-15 11:36:03 345

原创 USB引脚定义

USB的版本

2011-04-29 14:21:00 703

原创 转行有理还是无理?

转行需要每天进步一点点。少制造不良品,浪费社会资源。

2011-03-31 19:24:00 354

原创 小容量 不定序排列的查找快速方法之一 简介

刻度尺法:原则:用空间换取时间。

2011-03-17 08:19:00 365

原创 进修之道--斯坦福大学公开课

<br />http://www.stanford.edu/<br />进修之道--斯坦福大学公开课<br /> 

2011-01-21 08:52:00 340

原创 关于底层软件质量实现之浅见

1.目的:时下嵌入式电子产品丰富多彩。产品质量稳定性存在较大差异。其中软件质量问题是其中重要的一环。

2010-12-25 11:21:00 402

原创 关于电子产品质量

1.当下做电子产品的公司很多,不过拥有自己研发队伍的不多。这就有了很多专业做电子产品开发的公司。

2010-12-22 20:30:00 1203 2

转载 语音IC选型参考

录音芯片及放音芯片的选择

2010-12-02 14:15:00 2016 1

原创 无驱动上位机软件开发途径

实现host和device之间通信

2010-10-03 09:55:00 430

原创 移植PIC代码到MSP随想

移植PIC代码到MSP随想

2010-09-30 21:06:00 380

原创 转载:一些射频相关的网站

一些射频相关的网站。

2010-08-02 13:40:00 12879

原创 关于曼码解调电路

有兴趣的同仁可以留下联系方式,将电路发送给各位。

2010-06-30 14:56:00 1051 1

原创 工作习惯点滴

1.调试硬件/软件:  (1)。熟悉原理图。  (2)。确认是否和软件相关  (3)。制定硬件调试方案步骤  (4)。根据需要制定软件调试方案步骤,制作实验数据表  (5)。调试硬件、软件,记录实验数据。  (6)。分析调试结果  (7)。是否有必要更新电路、更新升级软件  (8)。如有必要,提出更新申请 不要没有计划的去做事情。 

2010-04-13 08:04:00 360

原创 最近做mifare Ultralight mifare DESFire mifare plus方面的事情

最近做mifare Ultralight mifare DESFire mifare plus 方面的事情。有做相关事情的同仁可以共同探讨一下。谢谢。

2010-03-20 14:18:00 1350

原创 产品开发软件硬件协调方法

很多电子产品一般都有软件和硬件两大部分构成,在产品设计的过程中,一些功能具有特殊性:既可以软件实现,也可硬件电路实现。如果是硬件工程师和软件工程师合作开发,具体实现的方案往往依据哪位工程师是主导来确认。本人认为,一般情况下最好是软件实现。理由如下:1.节约成本,如果是采用硬件电路实现,增加的电路每个产品都会有相应的元器件成本增加到产品中。而且是正比于产量。2.容易升级,软件升级容易,容易维

2010-03-01 21:04:00 1159

原创 共勉两句话

心境似水水水流流路自横世事若棋棋棋迷迷途亦乐 多年以前上学的时候所得,希望大家共勉.顺祝大家虎年新春吉祥如意,事业顺意!

2010-02-12 14:57:00 313

原创 最近没有做软件开发的项目

最近没有做软件开发的项目,在从事硬件设计。估计节后会有所动作了。关于非接触式CPU卡方向的专案要启动。欢迎有类似经历的同仁网友一同交流进步!将一些相关资料上传到csdn以及pudn上,需要的同志请下载参考。

2010-02-07 12:09:00 401

原创 关于if语句中的常量位置

if(j == 22)和if(22 == j)似乎和个人的编程习惯有关系,实际对编译器来说,也是一样的。但是这两句如果放在10K以上的代码中间,如果一不小心写成:if(j = 22)和if(22 = j),对与顺利找到错误来说,概率就不一样了。所以大家还是选择后者好一些。

2010-01-29 20:50:00 807

原创 AVR 看门狗唤醒 代码执行异常分析

异常描述:      同事做一个项目,发现AVR单片机采用内部晶振,看门狗唤醒后,执行部分代码,很不正常,有时候可以顺利执行,有时候好像并没有得到执行。原因分析:     1.  可能是单片机唤醒后,晶振没有稳定下来,导致代码执行异常。实际测试,并不是这个原因。     2.  可能是代码驱动的IC没有准备好。延迟时间,驱动该IC,经测试,并不是这个原因。     3.  可能

2010-01-29 20:42:00 1217

原创 GCC没有IDE,是否有哪位同仁开发一下?

开源的东西的确有相当多的好处。不过使用起来也是的确麻烦。不知道是否有哪位同仁可以开发出来匹配的IDE。也欢迎大家共同交流使用GCC的心得体会。

2010-01-05 18:45:00 692

原创 VS2008 调试问题 找不到 MSVC90D.dll 的解决方法

 项目菜单下,选择“xxx属性”Alt+F7 选项。 选择“配置属性”选项,选择C/C++选项,选择“代码生成”选项,选择“运行时库”选项, 选择“多线程调试(/Mtd)”即可。 重新编译即可运行代码。

2009-11-18 09:42:00 2092

原创 由于工作比较忙,会离开一段时间。

 由于另有工作安排,会比较忙,会离开一段时间。祝大家工作学习顺利!

2009-11-16 12:31:00 533

原创 C++类常函数以及内联函数

 1。请将下列代码,在2008VC环境下编译运行。/* Date : 20091109 For  : class const function and inline function Book : qianNeng Page : 276/572 */#include #include //.............................using namespace std;//

2009-11-09 10:38:00 1167

原创 继电器驱动断电拖尾处理

前提:1。继电器控制A端电压12V。2。B端并联两路,一路指示电路4K7串接LED接地。另一路,串三极管CE极。运放器输出串4K2驱动三极管B极。3。继电器控制端AB反向并联1N4007保护。 问题:1。继电器断开的时候,电磁铁啪啪响,不能立即断开。 原因:1。电磁回路反向电动势电压释放。2。运放驱动电平变化缓慢。 解决:1。三极管C和地之间串接

2009-11-07 11:16:00 641

原创 USB产品序列号获取方法

1。烧录的时候,使用单片机序列号功能,然后,代码采用查表方式,获取该单片机序列号,作为USB的产品序列号2。A/D转换,代码复位的时候,得到某个低精度大容值的电容上电时的电压值。3 .  搭建电路,用计数器获取电容充电路的充电时间常数。容值大,精度低,例如电解电容等。 

2009-11-03 17:20:00 1295

原创 关于覆盖和隐藏以及软件技巧

1。覆盖和隐藏观点:不建议在小的项目中使用覆盖和隐藏。原因:便于维护和升级。2。软件技巧观点:不建议在复杂的项目中过多使用软件技巧,来缩短代码。原因:易于维护和升级。3。关于微软的产品观点:代码不是最优化的,但可能是最容易升级维护的。原因:微软要的是聪明人,不是最具技巧的人。

2009-10-26 16:29:00 353

原创 单片机中的指针使用注意点

1。很多单片机有“间接寻址”功能,其实就是指针,字长一般8位。2。一般使用它要么递增,寻址数据,要么递减。3。如果不小心没有初始化该指针,代码会如何运行?     经测试如下:代码会飞掉,但是不会跑到非编程空间。等到该指针递增到(或者递减)到0后,运行到作者本想初始化的值的时候,代码会恢复正常。呵呵,如果使用指针没有作递增递减运算。就看指针的随机值是什么了。如果向前没有使用指针,那么就

2009-10-26 14:27:00 2190

原创 多做一点,或许会有意外发现---VC60 到 VC2008

1。利用VC2008学习VC60 孙鑫的编著,代码如下/* Date : 20091026  For  : this pointer Page : p53/782 Book : sunxin VC 60*/#include using std::cout;using std::cin;using std::endl;class point{ public: double x; doub

2009-10-26 14:03:00 546

原创 关于电容谐振器

出现问题:1。谐振器不起振,IC无法工作。问题根源:1。一般的IC,如果需要谐振器,都会对外部谐振器的谐振电容提出要求。并给出具体电路。2。一般的正规厂商,对自己生产的谐振器,也会提出最佳外部谐振器。3。一般的采购,不做严格要求,只是核对谐振器的频率,很少在乎其谐振电容的要求。4。一般的PCB布线,对PCB寄生的电容不做考虑。处理方法:1。按照IC厂商推荐的谐振器电

2009-10-20 15:41:00 564

原创 USB开发注意两点

1。EMC电路设计:注意对功耗的控制,否则会对电源电压有影响(总线供电方式下)。进而对整个系统稳定性造成影响。2。注意产品序列符不要设置成一样的(当然在一定范围内)。否则有一些PC不支持VID,PID,serial number完全相同的设备。

2009-10-20 07:49:00 292

原创 VC60 代码移植到VC2008 的异常之一

1。原代码#include #include LRESULT CALLBACK WinSunProc(  HWND hwnd,      // handle to window  UINT uMsg,      // message identifier  WPARAM wParam,  // first message parameter  LPARAM lParam   // seco

2009-10-14 09:21:00 691

原创 VC++6.0 代码移植到 VC++2008应注意的两个问题

1。VC60下的代码/* Date : 20091013  For  : create a form Book : using VC++ while learning*/#include LRESULT CALLBACK WndProc(       HWND,       UINT,       WPARAM,       LPARAM       );int WINAPI WinMai

2009-10-13 15:07:00 1119

原创 HT IDE 3000 VPM 软件仿真 按钮按下 数码管显示数字 电路搭建以及源代码 VPM 应用 系列之五

1。功能说明:按下按钮,数码管从0计数,到9复位为0,继续显示。2。代码如下:欢迎大家优化为最佳代码。/* Date : 20091010 For  : button drive led  Tool : HT IDE 3000 V7.0 MCU  : HT48R50A-1 Fsys : 4MHz ASM/C: C VPM  : yes*/#include  "self-HT48R50A-1

2009-10-13 09:41:00 2635

原创 VPM buttton 按钮代码 实例 系列之四

1。本实例实用按钮,Button控制LED亮灭。2。Button自带延迟时间选项,可以填入数值,单位ms。不过注意:输入的是十六进制,再次打开可以看到自动转化为十进制。3。实例代码如下: 编译环境HT IDE 3000 V7.0/* Date : 20091010 For  : button drive led  Tool : HT IDE 3000 V7.0 MCU  : HT48R

2009-10-12 15:13:00 844

原创 VPM led driver LED显示驱动 20091010 VPM软件仿真硬件电路 屏幕录像上传成功

为了更容易的上手,现将操作过程屏幕录像,文件上传成功。说明:使用屏幕录像专家V7.5,天空软件下载。 电路简单说明:                                               _____                    PA.x(I/O) ---| PA输出低电平LED亮。 下载网址:http://download.c

2009-10-10 09:42:00 537

原创 VPM 软仿真 跑马灯代码以及仿真截图 系列之三

1.源代码:/* Date : 20091009 For  : drive led  Tool : HT IDE 3000 V7.0 MCU  : HT48R50A-1 Fsys : 4MHz ASM/C: C VPM  : yes*/#include "HT48R50A-1.h"#define  ledPort  _pa#define  ledPortCtrl _pacvoid main

2009-10-09 17:23:00 959

原创 VPM虚拟外围器件的应用系列说明 之二 需要下载或参考的资料下载地址

简体中文网站http://www.holtek.com.cn/china/default.htmIDE V7.0 安装软件以及使用手册 下载页面http://www.holtek.com.cn/china/tech/updates/ht-ide.htm仿真选用器件 HT48R50A-1 datasheet下载页面http://www.holtek.com.cn/china/docum/uc/48x

2009-10-08 11:21:00 943 2

原创 VPM虚拟外围器件的应用系列说明 之一 基于HOLTEK的IDE 3000

这一章简单说说VPM的用途,它可以在软件仿真的时候模拟硬件的动作,例如LED的闪烁,开关的按下释放,门电路的运算结果输出,数字IC的运算结果等。下面贴出VPM的常用电子器件。http://p.blog.csdn.net/images/p_blog_csdn_net/duojinian/EntryImages/20091008/未命名.jpg

2009-10-08 08:30:00 860

原创 Keil C 全局变量和局部变量的直接对话诱发的bugger

Keil C UV3.0 环境下,有AB两个函数。A函数中有如下代码:定义局部变量i;  if(局部变量i > 全局变量K){     。。。。。。} B函数中有如下代码:定义局部变量i;if(局部变量i > 全局变量K){   。。。。。。} 运行时发现,A函数可以正确执行,而B函数不可以。没有办法,只得将B函数改为两个全局变量比较才能

2009-10-06 15:56:00 1782

freeRTOSV8.12移植到STM32F107VC采用MDKV5.11a

将截至2014.09.24最新版FreeRTOSV8.1.2版本移植到STM32F107VC,采用IDE是MDK最新版5.11a。自定义寄存器,没有采用STM官方库文件。 建立两个任务: 1. 闪烁LED灯。 2. 接收3个按键输入,亮对应的LED灯。

2014-09-24

CPU卡通讯协议及地层程序设计

CPU卡通讯协议及地层程序设计,请作为设计开发的参考,如果引用,请注明来源原作者。谢谢

2010-02-07

CPU卡中T is 0通讯协议的分析与实现

CPU卡中T is 0通讯协议的分析与实现,请作为设计开发的参考资料,引用时请注明来源,谢谢

2010-02-07

基于ATT7022B 的实时分段三相电度表

基于ATT7022B 的实时分段三相电度表设计,给论文对设计中要注意的要点进行了说明。有些地方设计到个人经验技巧。仅供参考。

2009-11-14

ATT7022B_Note笔记

ATT7022B_Note笔记,详细对该芯片如果设置使用进行了说明,并附有demo代码。如果疑问,请咨询芯片厂商。

2009-11-14

circults analysing version 3.rar

The classic book of circult analysing. To buy the public book PLS, if you love it.

2009-10-30

电路分析基础 李瀚荪 第三版 下册.rar

经典教材,值得一看,如果喜欢,请购买原版。谢谢合作。

2009-10-29

电路分析基础 李瀚荪 第三版 中册.rar

经典教材,值得细细阅读品味。如果喜欢,请购买正版。谢谢。

2009-10-29

晶体管电路设计 下.part2.rar

日本作者编著,实验数据翔实,由浅入深,循序渐进。如果喜欢,请购买原版。

2009-10-27

晶体管电路设计 下.part3.rar

日本作者编著,实验数据翔实。由浅入深讲解。如果喜欢,请购买正版。

2009-10-27

晶体管电路设计 下.part1.rar

日本编著的书籍,经典详尽,实验数据真是有效。十分难得。如果喜欢,请购买正版。

2009-10-27

屏幕录像 VPM软件仿真操作编辑流程

VPM的使用操作流程,屏幕录像文件。不花钱就可以入门单片机基本编程,基本电路设计。建议从这里入门。后续会有比较复杂的电路设计以及源代码奉献给大家。

2009-10-10

电路分析基础 李瀚荪 第三版 上册

电路分析基础 李瀚荪 第三版 上册,经典教材,电子工程师必备知识。值得细细品味。如果感觉不错,请购买正版书籍。谢谢。共三册。

2009-10-08

晶体管电路设计 上 铃木雅臣

晶体管电路设计,上册,日本 铃木雅臣 编著。详细介绍晶体管电路的使用注意事项,参数选择依据。实战性强,内容翔实。值得一看,如果感觉不错,请购买正版。

2009-10-08

DriverStudio培训教程 10分钟完成一个USB驱动程序

DriverStudio培训教程 10分钟完成一个USB驱动程序,驱动开发软件熟悉教程。

2009-09-27

LCD 驱动代码 C 汇编 demo

LCD 驱动代码 C 汇编 demo,LCM模块资料,使用手册,C语言驱动代码,汇编驱动代码。

2009-09-27

Visual C 2008入门经典

VC 2008 入门经典,美国 Ivor horton编著,中译本。由浅入深,循序渐进。堪称经典之作。如果喜欢请购买原版。

2009-09-15

C langguage improving steps sample codes

c 语言 进阶 源代码,东大讲座,第4讲第5讲源代码。支持环境C/C++/VC/BCB。

2009-09-11

C语言进阶精讲东大讲座

c语言进阶精讲,精简 明晰 讲解C语言精华内容。东大讲座。

2009-09-11

installshield教程.rar

介绍 installshield 的使用,shiedlscrip语言使用技巧等内容.

2009-08-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除